Recent Advances in Reactive Ion Etching and Applications of High-Aspect-Ratio Microfabrication

A special issue of Micromachines (ISSN 2072-666X). This special issue belongs to the section "D:Materials and Processing".

Deadline for manuscript submissions: closed (30 April 2022) | Viewed by 50083

Special Issue Editors


E-Mail Website
Guest Editor
1. Institute for Biomedical Engineering, University and ETH Zürich, 8092 Zürich, Switzerland
2. Paul Scherrer Institute, Forschungsstrasse 111, CH-5232 Villigen, Switzerland
Interests: X-ray optics; gratings; silicon etching; metal-assisted chemical etching; silicon nanowires
Special Issues, Collections and Topics in MDPI journals

E-Mail Website
Guest Editor
1. Photon Science Division, Paul Scherrer Institut, 5232 Villigen PSI, Switzerland
2. Institute for Biomedical Engineering, University and ETH Zürich, 8092 Zürich, Switzerland
Interests: x-ray optics; x-ray microscopy; x-ray grating interferometry, metamaterials; plasmonics; microfabrication; nanofabrication; optical lithography; electron beam lithography; displacement Talbot lithography; dry etching; electroplating

Special Issue Information

Dear Colleagues,

Reactive ion etching (RIE) is the dominating technology for micromachining semiconductors with a high aspect ratio (HAR). It has long been recognized as a powerful manufacturing tool and has been widely applied across different research fields and industrial sectors.

The semiconductor industry is continuously pushing to shrink lateral dimensions and to increase the aspect ratio of features while maintaining a cost advantage. This is driving new challenges in RIE processes to meet the demands of control feature-size variation and fabrication of HAR features in high-volume manufacturing. Atomic-scale modeling of physicochemical reactions, involving ion bombardment and neutral transport, scaling from two to three dimensions, critical dimensions uniformity over a large area, microloading effects, reactive ion etching lag, and aspect-ratio-dependent etching, are challenging experts in the field of plasma processing. Cryogenic etching, a ramped parameters approach, and pulsed bias etching processes can be proposed as boosting RIE technologies for the nanoscale fabrication of HAR features in the range of aspect ratio of 100:1 and beyond. Moreover, the matching of RIE with new lithographic and deposition techniques is addressing new challenges in processing.

This Special Issue will showcase research papers and review articles that focus on novel methodological developments in RIE and related techniques, such as deep reactive ion etching (DRIE), atomic layer etching (ALEt) and others on various materials (Si, Ge, SiC, diamond, III-V semiconductors, metals, etc.) and its use for a wide range of applications. HAR features at the nanoscale have been demonstrated as nanoporous film, nanowires, 3D objects, trenches, which are useful components for new architecture 3D circuits, microprocessing units, transistors, memories, sensors, micro and nano Vias, MEMS, photonics, thermoelectrics, microfluidics, bio-medical devices, batteries, optical lenses, mirrors, X-ray optics, etc.

Dr. Lucia Romano
Dr. Konstantins Jefimovs
Guest Editors

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Micromachines is an international peer-reviewed open access monthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2600 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Keywords

  • deep reactive ion etching
  • Bosch technique
  • cryogenic
  • dry etching
  • plasma
  • X-ray optics
  • gratings
  • MEMS
  • nanoscale fabrication
  • Vias

Published Papers (11 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Editorial

Jump to: Research, Review

2 pages, 162 KiB  
Editorial
Editorial for the Special Issue on Recent Advances in Reactive Ion Etching and Applications of High-Aspect-Ratio Microfabrication
by Lucia Romano and Konstantins Jefimovs
Micromachines 2023, 14(8), 1630; https://0-doi-org.brum.beds.ac.uk/10.3390/mi14081630 - 18 Aug 2023
Viewed by 665
Abstract
Reactive ion etching (RIE) is the dominating technology for micromachining semiconductors with a high aspect ratio (HAR) [...] Full article

Research

Jump to: Editorial, Review

11 pages, 3383 KiB  
Article
Effects of Mask Material on Lateral Undercut of Silicon Dry Etching
by Yongkang Zhang, Zhongxuan Hou, Chaowei Si, Guowei Han, Yongmei Zhao, Xiaorui Lu, Jiahui Liu, Jin Ning and Fuhua Yang
Micromachines 2023, 14(2), 306; https://0-doi-org.brum.beds.ac.uk/10.3390/mi14020306 - 25 Jan 2023
Cited by 2 | Viewed by 2776
Abstract
The silicon etching process is a core component of production in the semiconductor industry. Undercut is a nonideal effect in silicon dry etching. A reduced undercut is desired when preparing structures that demand a good sidewall morphology, while an enlarged undercut is conducive [...] Read more.
The silicon etching process is a core component of production in the semiconductor industry. Undercut is a nonideal effect in silicon dry etching. A reduced undercut is desired when preparing structures that demand a good sidewall morphology, while an enlarged undercut is conducive to the fabrication of microstructure tips. Undercut is related to not only the production parameters but also the mask materials. In this study, five mask materials—Cr, Al, ITO, SiNx, and SiO2—are chosen to compare the undercut effect caused by the isotropic etching process and the Bosch process. In the Bosch process, the SiNx mask causes the largest undercut, and the SiO2 mask causes the smallest undercut. In the isotropic process, the results are reversed. The effect of charges in the mask layer is found to produce this result, and the effect of electrons accumulating during the process is found to be negligible. The undercut effect can be enhanced or suppressed by selecting appropriate mask materials, which is helpful in the MEMS process. Finally, using an Al mask, a tapered silicon tip with a top diameter of 119.3 nm is fabricated using the isotropic etching process. Full article
Show Figures

Figure 1

18 pages, 3585 KiB  
Article
The Method of Low-Temperature ICP Etching of InP/InGaAsP Heterostructures in Cl2-Based Plasma for Integrated Optics Applications
by Sergey Ishutkin, Vadim Arykov, Igor Yunusov, Mikhail Stepanenko, Vyacheslav Smirnov, Pavel Troyan and Yury Zhidik
Micromachines 2021, 12(12), 1535; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12121535 - 10 Dec 2021
Cited by 8 | Viewed by 3063
Abstract
Chlorine processes are widely used for the formation of waveguide structures in InP-based optoelectronics. Traditionally, ICP etching of InP in a Cl2-based plasma requires substrate temperatures in the range of 150–200 °C. This condition is mandatory, since during the etching process [...] Read more.
Chlorine processes are widely used for the formation of waveguide structures in InP-based optoelectronics. Traditionally, ICP etching of InP in a Cl2-based plasma requires substrate temperatures in the range of 150–200 °C. This condition is mandatory, since during the etching process low-volatility InClx components are formed and at insufficient temperatures are deposited onto substrate, leading to the formation of defects and further impossibility of the formation of waveguide structures. The need to preheat the substrate limits the application of chlorine processes. This paper presents a method of ICP etching an InP/InGaAsP heterostructure in a Cl2/Ar/N2 gas mixture. A feature of the developed method is the cyclic etching of the heterostructure without preliminary heating. The etching process starts at room temperature. In the optimal etching mode, the angle of inclination of the sidewalls of the waveguides reached 88.8° at an etching depth of more than 4.5 μm. At the same time, the surface roughness did not exceed 30 nm. The selectivity of the etching process with respect to the SiNx mask was equal to 9. Using the developed etching method, test integrated waveguide elements were fabricated. The fabricated active integrated waveguide (p-InP epitaxial layers were not removed) with a width of 2 μm demonstrated an optical loss around 11 ± 1.5 dB/cm at 1550 nm. The insertion loss of the developed Y- and MMI-splitters did not exceed 0.8 dB. Full article
Show Figures

Figure 1

11 pages, 18876 KiB  
Article
Comparison between Bosch and STiGer Processes for Deep Silicon Etching
by Thomas Tillocher, Jack Nos, Gaëlle Antoun, Philippe Lefaucheux, Mohamed Boufnichel and Rémi Dussart
Micromachines 2021, 12(10), 1143; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12101143 - 23 Sep 2021
Cited by 6 | Viewed by 2813
Abstract
The cryogenic process is well known to etch high aspect ratio features in silicon with smooth sidewalls. A time-multiplexed cryogenic process, called STiGer, was developed in 2006 and patented. Like the Bosch process, it consists in repeating cycles composed of an isotropic etching [...] Read more.
The cryogenic process is well known to etch high aspect ratio features in silicon with smooth sidewalls. A time-multiplexed cryogenic process, called STiGer, was developed in 2006 and patented. Like the Bosch process, it consists in repeating cycles composed of an isotropic etching step followed by a passivation step. If the etching step is similar for both processes, the passivation step is a SiF4/O2 plasma that efficiently deposits a SiOxFy layer on the sidewalls only if the substrate is cooled at cryogenic temperature. In this paper, it is shown that the STiGer process can achieve profiles and performances equivalent to the Bosch process. However, since sidewall passivation is achieved with polymer free plasma chemistry, less frequent chamber cleaning is necessary, which contributes to increase the throughput. Full article
Show Figures

Figure 1

12 pages, 2893 KiB  
Article
Silicon Wafer Etching Rate Characteristics with Burst Width Using 150 kHz Band High-Power Burst Inductively Coupled Plasma
by Hisaki Kikuchi, Katsuyuki Takahashi, Seiji Mukaigawa, Koichi Takaki and Ken Yukimura
Micromachines 2021, 12(6), 599; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12060599 - 22 May 2021
Cited by 3 | Viewed by 1922
Abstract
The high-speed etching of a silicon wafer was experimentally investigated, focusing on the duty factor of 150 kHz band high-power burst inductively coupled plasma. The pulse burst width was varied in the range of 400–1000 µs and the repetition rate was set to [...] Read more.
The high-speed etching of a silicon wafer was experimentally investigated, focusing on the duty factor of 150 kHz band high-power burst inductively coupled plasma. The pulse burst width was varied in the range of 400–1000 µs and the repetition rate was set to 10 Hz. A mixture of argon (Ar) and carbon tetrafluoride (CF4) gas was used as the etching gas and injected into the vacuum chamber. The impedance was changed with time, and the coil voltage and current were changed to follow it. During the discharge, about 3 kW of power was applied. The electron temperature and plasma density were measured by the double probe method. The plasma density in the etching region was 1018–1019 m−3. The target current increased with t burst width. The etching rate of Ar discharge at burst width of 1000 µs was 0.005 µm/min. Adding CF4 into Ar, the etching rate became 0.05 µm/min, which was about 10 times higher. The etching rate increased with burst width. Full article
Show Figures

Figure 1

8 pages, 5777 KiB  
Article
Reduced Etch Lag and High Aspect Ratios by Deep Reactive Ion Etching (DRIE)
by Michael S. Gerlt, Nino F. Läubli, Michel Manser, Bradley J. Nelson and Jürg Dual
Micromachines 2021, 12(5), 542; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12050542 - 10 May 2021
Cited by 26 | Viewed by 4714
Abstract
Deep reactive ion etching (DRIE) with the Bosch process is one of the key procedures used to manufacture micron-sized structures for MEMS and microfluidic applications in silicon and, hence, of increasing importance for miniaturisation in biomedical research. While guaranteeing high aspect ratio structures [...] Read more.
Deep reactive ion etching (DRIE) with the Bosch process is one of the key procedures used to manufacture micron-sized structures for MEMS and microfluidic applications in silicon and, hence, of increasing importance for miniaturisation in biomedical research. While guaranteeing high aspect ratio structures and providing high design flexibility, the etching procedure suffers from reactive ion etching lag and often relies on complex oxide masks to enable deep etching. The reactive ion etching lag, leading to reduced etch depths for features exceeding an aspect ratio of 1:1, typically causes a height difference of above 10% for structures with aspect ratios ranging from 2.5:1 to 10:1, and, therefore, can significantly influence subsequent device functionality. In this work, we introduce an optimised two-step Bosch process that reduces the etch lag to below 1.5%. Furthermore, we demonstrate an improved three-step Bosch process, allowing the fabrication of structures with 6 μm width at depths up to 180 μm while maintaining their stability. Full article
Show Figures

Graphical abstract

16 pages, 6353 KiB  
Article
Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization
by Dmitry A. Baklykov, Mihail Andronic, Olga S. Sorokina, Sergey S. Avdeev, Kirill A. Buzaverov, Ilya A. Ryzhikov and Ilya A. Rodionov
Micromachines 2021, 12(5), 534; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12050534 - 08 May 2021
Cited by 12 | Viewed by 2958
Abstract
Advanced microsystems widely used in integrated optoelectronic devices, energy harvesting components, and microfluidic lab-on-chips require high-aspect silicon microstructures with a precisely controlled profile. Such microstructures can be fabricated using the Bosch process, which is a key process for the mass production of micro-electro-mechanical [...] Read more.
Advanced microsystems widely used in integrated optoelectronic devices, energy harvesting components, and microfluidic lab-on-chips require high-aspect silicon microstructures with a precisely controlled profile. Such microstructures can be fabricated using the Bosch process, which is a key process for the mass production of micro-electro-mechanical systems (MEMS) devices. One can measure the etching profile at a cross-section to characterize the Bosch process quality by cleaving the substrate into two pieces. However, the cleaving process of several neighboring deeply etched microstructures is a very challenging and uncontrollable task. The cleaving method affects both the cleaving efficiency and the metrology quality of the resulting etched microstructures. The standard cleaving technique using a diamond scriber does not solve this issue. Herein, we suggest a highly controllable cross-section cleaving method, which minimizes the effect on the resulting deep etching profile. We experimentally compare two cleaving methods based on various auxiliary microstructures: (1) etched transverse auxiliary lines of various widths (from 5 to 100 μm) and positions; and (2) etched dashed auxiliary lines. The interplay between the auxiliary lines and the etching process is analyzed for dense periodic and isolated trenches sized from 2 to 50 μm with an aspect ratio of more than 10. We experimentally showed that an incorrect choice of auxiliary line parameters leads to silicon “build-up” defects at target microstructures intersections, which significantly affects the cross-section profile metrology. Finally, we suggest a highly controllable defect-free cross-section cleaving method utilizing dashed auxiliary lines with the stress concentrators. Full article
Show Figures

Figure 1

10 pages, 3315 KiB  
Article
Fabrication of X-ray Gratings for Interferometric Imaging by Conformal Seedless Gold Electroplating
by Konstantins Jefimovs, Joan Vila-Comamala, Carolina Arboleda, Zhentian Wang, Lucia Romano, Zhitian Shi, Matias Kagias and Marco Stampanoni
Micromachines 2021, 12(5), 517; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12050517 - 07 May 2021
Cited by 14 | Viewed by 2941
Abstract
We present a method to produce small pitch gratings for X-ray interferometric imaging applications, allowing the phase sensitivity to be increased and/or the length of the laboratory setup to be minimized. The method is based on fabrication of high aspect ratio silicon microstructures [...] Read more.
We present a method to produce small pitch gratings for X-ray interferometric imaging applications, allowing the phase sensitivity to be increased and/or the length of the laboratory setup to be minimized. The method is based on fabrication of high aspect ratio silicon microstructures using deep reactive ion etching (Bosch technique) of dense grating arrays and followed by conformal electroplating of Au. We demonstrated that low resistivity Si substrates (<0.01 Ohm·cm) enable the metal seeding layer deposition step to be avoided, which is normally required to initiate the electroplating process. Etching conditions were optimized to realize Si recess structures with a slight bottom tapering, which ensured the void-free Au filling of the trenches. Vapor HF was used to remove the native oxide layer from the Si grating surface prior to electroplating in the cyanide-based Au electrolyte. Fabrication of Au gratings with pitch in the range 1.2–3.0 µm was successfully realized. A substantial improved aspect ratio of 45:1 for a pitch size of 1.2 µm was achieved with respect to the prior art on 4-inch wafer-based technology. The fabricated Au gratings were tested with X-ray interferometers in Talbot–Laue configuration with measured visibility of 13% at an X-ray design energy of 26 keV. Full article
Show Figures

Figure 1

13 pages, 4846 KiB  
Article
Metasurface Fabrication by Cryogenic and Bosch Deep Reactive Ion Etching
by Angela M. Baracu, Christopher A. Dirdal, Andrei M. Avram, Adrian Dinescu, Raluca Muller, Geir Uri Jensen, Paul Conrad Vaagen Thrane and Hallvard Angelskår
Micromachines 2021, 12(5), 501; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12050501 - 29 Apr 2021
Cited by 17 | Viewed by 4521
Abstract
The research field of metasurfaces has attracted considerable attention in recent years due to its high potential to achieve flat, ultrathin optical devices of high performance. Metasurfaces, consisting of artificial patterns of subwavelength dimensions, often require fabrication techniques with high aspect ratios (HARs). [...] Read more.
The research field of metasurfaces has attracted considerable attention in recent years due to its high potential to achieve flat, ultrathin optical devices of high performance. Metasurfaces, consisting of artificial patterns of subwavelength dimensions, often require fabrication techniques with high aspect ratios (HARs). Bosch and Cryogenic methods are the best etching candidates of industrial relevance towards the fabrication of these nanostructures. In this paper, we present the fabrication of Silicon (Si) metalenses by the UV-Nanoimprint Lithography method and cryogenic Deep Reactive Ion Etching (DRIE) process and compare the results with the same structures manufactured by Bosch DRIE both in terms of technological achievements and lens efficiencies. The Cryo- and Bosch-etched lenses attain efficiencies of around 39% at wavelength λ = 1.50 µm and λ = 1.45 µm against a theoretical level of around 61% (for Si pillars on a Si substrate), respectively, and process modifications are suggested towards raising the efficiencies further. Our results indicate that some sidewall surface roughness of the Bosch DRIE is acceptable in metalense fabrication, as even significant sidewall surface roughness in a non-optimized Bosch process yields reasonable efficiency levels. Full article
Show Figures

Figure 1

13 pages, 3666 KiB  
Article
Towards the Fabrication of High-Aspect-Ratio Silicon Gratings by Deep Reactive Ion Etching
by Zhitian Shi, Konstantins Jefimovs, Lucia Romano and Marco Stampanoni
Micromachines 2020, 11(9), 864; https://0-doi-org.brum.beds.ac.uk/10.3390/mi11090864 - 18 Sep 2020
Cited by 37 | Viewed by 7390
Abstract
The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few [...] Read more.
The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few micrometers has greatly limited imaging applications based on X-ray grating interferometry. A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of grating patterns. To achieve this goal, a modified Coburn–Winters model was applied in order to study the influence of key etching parameters, such as chamber pressure and etching power. The recipe for deep reactive ion etching was carefully fine-tuned based on the experimental results. Silicon gratings with an area of 70 × 70 mm2, pitch size of 1.2 and 2 μm were fabricated using the optimized process with aspect ratio α of ~67 and 77, respectively. Full article
Show Figures

Figure 1

Review

Jump to: Editorial, Research

25 pages, 10396 KiB  
Review
Recent Advances in Reactive Ion Etching and Applications of High-Aspect-Ratio Microfabrication
by Michael Huff
Micromachines 2021, 12(8), 991; https://0-doi-org.brum.beds.ac.uk/10.3390/mi12080991 - 20 Aug 2021
Cited by 84 | Viewed by 14382
Abstract
This paper reviews the recent advances in reaction-ion etching (RIE) for application in high-aspect-ratio microfabrication. High-aspect-ratio etching of materials used in micro- and nanofabrication has become a very important enabling technology particularly for bulk micromachining applications, but increasingly also for mainstream integrated circuit [...] Read more.
This paper reviews the recent advances in reaction-ion etching (RIE) for application in high-aspect-ratio microfabrication. High-aspect-ratio etching of materials used in micro- and nanofabrication has become a very important enabling technology particularly for bulk micromachining applications, but increasingly also for mainstream integrated circuit technology such as three-dimensional multi-functional systems integration. The characteristics of traditional RIE allow for high levels of anisotropy compared to competing technologies, which is important in microsystems device fabrication for a number of reasons, primarily because it allows the resultant device dimensions to be more accurately and precisely controlled. This directly leads to a reduction in development costs as well as improved production yields. Nevertheless, traditional RIE was limited to moderate etch depths (e.g., a few microns). More recent developments in newer RIE methods and equipment have enabled considerably deeper etches and higher aspect ratios compared to traditional RIE methods and have revolutionized bulk micromachining technologies. The most widely known of these technologies is called the inductively-coupled plasma (ICP) deep reactive ion etching (DRIE) and this has become a mainstay for development and production of silicon-based micro- and nano-machined devices. This paper will review deep high-aspect-ratio reactive ion etching technologies for silicon, fused silica (quartz), glass, silicon carbide, compound semiconductors and piezoelectric materials. Full article
Show Figures

Figure 1

Back to TopTop