Silica and Silicon Based Nanostructures

A special issue of Nanomaterials (ISSN 2079-4991). This special issue belongs to the section "Synthesis, Interfaces and Nanostructures".

Deadline for manuscript submissions: closed (31 July 2021) | Viewed by 39418

Printed Edition Available!
A printed edition of this Special Issue is available here.

Special Issue Editor


E-Mail Website
Guest Editor
Univ Grenoble Alpes, CNRS, Grenoble INP, LMGP,Inst Engn, F-38000 Grenoble, France
Interests: Si nanowires; ZnO nanowires; semiconducting nanowire networks; nanonets; functional devices; biosensing; gas sensing; transistors; flexible electronics; material design; physical modeling

Special Issue Information

Dear Colleagues,

Studies on silicon and silica-based nanostructures appeared in the early 1990s. Their number continued to grow until about 2014. Since then, scientific production has stabilized (silica-based nanostructures) or tended to decrease (silicon-based nanostructures). An extensive literature review of silicon-based nanostructures clearly shows that the major areas affected by the decline in publications are applied physics, crystallography, and engineering electrical electronic, whereas numerous applied fields are the subject of increased interest.

This is a sign that the structures and technologies have been mastered and the most obvious applications (electronic devices) have been explored, and research teams are now exploring new fields and applications for these nanostructures. The purpose of this Special Issue is to bring together the state-of-art in this field and to allow the emergence of novel ideas and concepts for silicon- and silica-based nanostructures. Indeed, Si and SiO2-based nanostructures open new perspectives for many interdisciplinary applications in the fields of biomarkers, energy recovery, photovoltaics, sensors, manipulators, actuators, optoelectronics, quantum devices, telecommunications, environmental science, mechanics, biomaterials, etc.

Since the production of functional materials requires a good understanding of physical and chemical properties, fundamental studies, applied, theoretical, or computational, specifically related to new applications are also expected.

Full papers, communications, and reviews are all welcome. Topics include, but are not limited, to the following:

  • Si- and SiO2-based nanostructures (single structure, network, nanonet, large assembly, composite, etc.): theory, synthesis, fabrication, properties
  • Doping, surface effects, and surface functionalization
  • Applications of Si- and SiO2-based nanostructures, for example, gas- and bio-sensing, electronics, photonics, energy harvesting, photovoltaic, energy storage, catalysis, biomedical, etc.
  • Developments in the characterization of Si- and SiO2-based nanostructures: electrical and chemical mapping at the nanoscale, optical measurement, TEM-related methods, etc.
  • Theory and computational modeling of Si- and SiO2-based nanostructures
  • Other studies of nanoscience and nanotechnology associated with Si- and SiO2-based nanostructures

Dr. Céline Ternon
Guest Editor

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Nanomaterials is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2900 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Published Papers (11 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Editorial

Jump to: Research, Review

2 pages, 437 KiB  
Editorial
Silica- and Silicon-Based Nanostructures
by Céline Ternon
Nanomaterials 2022, 12(8), 1270; https://0-doi-org.brum.beds.ac.uk/10.3390/nano12081270 - 08 Apr 2022
Cited by 1 | Viewed by 1038
Abstract
As depicted in Figure 1, studies on silicon and silica-based nanostructures first appeared in the early 1990s, and their numbers grew until the mid-2010s [...] Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

Research

Jump to: Editorial, Review

13 pages, 2186 KiB  
Article
Vertically Aligned n-Type Silicon Nanowire Array as a Free-Standing Anode for Lithium-Ion Batteries
by Andika Pandu Nugroho, Naufal Hanif Hawari, Bagas Prakoso, Andam Deatama Refino, Nursidik Yulianto, Ferry Iskandar, Evvy Kartini, Erwin Peiner, Hutomo Suryo Wasisto and Afriyanti Sumboja
Nanomaterials 2021, 11(11), 3137; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11113137 - 20 Nov 2021
Cited by 22 | Viewed by 4027
Abstract
Due to its high theoretical specific capacity, a silicon anode is one of the candidates for realizing high energy density lithium-ion batteries (LIBs). However, problems related to bulk silicon (e.g., low intrinsic conductivity and massive volume expansion) limit the performance of silicon anodes. [...] Read more.
Due to its high theoretical specific capacity, a silicon anode is one of the candidates for realizing high energy density lithium-ion batteries (LIBs). However, problems related to bulk silicon (e.g., low intrinsic conductivity and massive volume expansion) limit the performance of silicon anodes. In this work, to improve the performance of silicon anodes, a vertically aligned n-type silicon nanowire array (n-SiNW) was fabricated using a well-controlled, top-down nano-machining technique by combining photolithography and inductively coupled plasma reactive ion etching (ICP-RIE) at a cryogenic temperature. The array of nanowires ~1 µm in diameter and with the aspect ratio of ~10 was successfully prepared from commercial n-type silicon wafer. The half-cell LIB with free-standing n-SiNW electrode exhibited an initial Coulombic efficiency of 91.1%, which was higher than the battery with a blank n-silicon wafer electrode (i.e., 67.5%). Upon 100 cycles of stability testing at 0.06 mA cm−2, the battery with the n-SiNW electrode retained 85.9% of its 0.50 mAh cm−2 capacity after the pre-lithiation step, whereas its counterpart, the blank n-silicon wafer electrode, only maintained 61.4% of 0.21 mAh cm−2 capacity. Furthermore, 76.7% capacity retention can be obtained at a current density of 0.2 mA cm−2, showing the potential of n-SiNW anodes for high current density applications. This work presents an alternative method for facile, high precision, and high throughput patterning on a wafer-scale to obtain a high aspect ratio n-SiNW, and its application in LIBs. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Graphical abstract

12 pages, 1924 KiB  
Article
Optimization of Metal-Assisted Chemical Etching for Deep Silicon Nanostructures
by Rabia Akan and Ulrich Vogt
Nanomaterials 2021, 11(11), 2806; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11112806 - 22 Oct 2021
Cited by 4 | Viewed by 1907
Abstract
High-aspect ratio silicon (Si) nanostructures are important for many applications. Metal-assisted chemical etching (MACE) is a wet-chemical method used for the fabrication of nanostructured Si. Two main challenges exist with etching Si structures in the nanometer range with MACE: keeping mechanical stability at [...] Read more.
High-aspect ratio silicon (Si) nanostructures are important for many applications. Metal-assisted chemical etching (MACE) is a wet-chemical method used for the fabrication of nanostructured Si. Two main challenges exist with etching Si structures in the nanometer range with MACE: keeping mechanical stability at high aspect ratios and maintaining a vertical etching profile. In this work, we investigated the etching behavior of two zone plate catalyst designs in a systematic manner at four different MACE conditions as a function of mechanical stability and etching verticality. The zone plate catalyst designs served as models for Si nanostructures over a wide range of feature sizes ranging from 850 nm to 30 nm at 1:1 line-to-space ratio. The first design was a grid-like, interconnected catalyst (brick wall) and the second design was a hybrid catalyst that was partly isolated, partly interconnected (fishbone). Results showed that the brick wall design was mechanically stable up to an aspect ratio of 30:1 with vertical Si structures at most investigated conditions. The fishbone design showed higher mechanical stability thanks to the Si backbone in the design, but on the other hand required careful control of the reaction kinetics for etching verticality. The influence of MACE reaction kinetics was identified by lowering the oxidant concentration, lowering the processing temperature and by isopropanol addition. We report an optimized MACE condition to achieve an aspect ratio of at least 100:1 at room temperature processing by incorporating isopropanol in the etching solution. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Graphical abstract

17 pages, 4016 KiB  
Article
Ultrabright Fluorescent Silica Nanoparticles for Dual pH and Temperature Measurements
by Saquib Ahmed M. A. Peerzade, Nadezhda Makarova and Igor Sokolov
Nanomaterials 2021, 11(6), 1524; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11061524 - 09 Jun 2021
Cited by 5 | Viewed by 1843
Abstract
The mesoporous nature of silica nanoparticles provides a novel platform for the development of ultrabright fluorescent particles, which have organic molecular fluorescent dyes physically encapsulated inside the silica pores. The close proximity of the dye molecules, which is possible without fluorescence quenching, gives [...] Read more.
The mesoporous nature of silica nanoparticles provides a novel platform for the development of ultrabright fluorescent particles, which have organic molecular fluorescent dyes physically encapsulated inside the silica pores. The close proximity of the dye molecules, which is possible without fluorescence quenching, gives an advantage of building sensors using FRET coupling between the encapsulated dye molecules. Here we present the use of this approach to demonstrate the assembly of ultrabright fluorescent ratiometric sensors capable of simultaneous acidity (pH) and temperature measurements. FRET pairs of the temperature-responsive, pH-sensitive and reference dyes are physically encapsulated inside the silica matrix of ~50 nm particles. We demonstrate that the particles can be used to measure both the temperature in the biologically relevant range (20 to 50 °C) and pH within 4 to 7 range with the error (mean absolute deviation) of 0.54 °C and 0.09, respectively. Stability of the sensor is demonstrated. The sensitivity of the sensor ranges within 0.2–3% °C−1 for the measurements of temperature and 2–6% pH−1 for acidity. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

12 pages, 3421 KiB  
Article
Derivation of Luminescent Mesoporous Silicon Nanocrystals from Biomass Rice Husks by Facile Magnesiothermic Reduction
by Sankar Sekar and Sejoon Lee
Nanomaterials 2021, 11(3), 613; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11030613 - 01 Mar 2021
Cited by 7 | Viewed by 1822
Abstract
High-quality silicon (Si) nanocrystals that simultaneously had superior mesoporous and luminescent characteristics were derived from sticky, red, and brown rice husks via the facile and cost-effective magnesiothermic reduction method. The Si nanocrystals were confirmed to comprise an aggregated morphology with spherical nanocrystals (e.g., [...] Read more.
High-quality silicon (Si) nanocrystals that simultaneously had superior mesoporous and luminescent characteristics were derived from sticky, red, and brown rice husks via the facile and cost-effective magnesiothermic reduction method. The Si nanocrystals were confirmed to comprise an aggregated morphology with spherical nanocrystals (e.g., average sizes of 15–50 nm). Due to the surface functional groups formed at the nanocrystalline Si surfaces, the Si nanocrystals clearly exhibited multiple luminescence peaks in visible-wavelength regions (i.e., blue, green, and yellow light). Among the synthesized Si nanocrystals, additionally, the brown rice husk (BRH)-derived Si nanocrystals showed to have a strong UV absorption and a high porosity (i.e., large specific surface area: 265.6 m2/g, small average pore diameter: 1.91 nm, and large total pore volume: 0.5389 cm3/g). These are indicative of the excellent optical and textural characteristics of the BRH-derived Si nanocrystals, compared to previously reported biomass-derived Si nanocrystals. The results suggest that the biomass BRH-derived Si nanocrystals hold great potential as an active source material for optoelectronic devices as well as a highly efficient catalyst or photocatalyst for energy conversion devices. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Graphical abstract

15 pages, 4351 KiB  
Article
Effect of Size and Shape on Electrochemical Performance of Nano-Silicon-Based Lithium Battery
by Caroline Keller, Antoine Desrues, Saravanan Karuppiah, Eléa Martin, John P. Alper, Florent Boismain, Claire Villevieille, Nathalie Herlin-Boime, Cédric Haon and Pascale Chenevier
Nanomaterials 2021, 11(2), 307; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11020307 - 25 Jan 2021
Cited by 34 | Viewed by 4967
Abstract
Silicon is a promising material for high-energy anode materials for the next generation of lithium-ion batteries. The gain in specific capacity depends highly on the quality of the Si dispersion and on the size and shape of the nano-silicon. The aim of this [...] Read more.
Silicon is a promising material for high-energy anode materials for the next generation of lithium-ion batteries. The gain in specific capacity depends highly on the quality of the Si dispersion and on the size and shape of the nano-silicon. The aim of this study is to investigate the impact of the size/shape of Si on the electrochemical performance of conventional Li-ion batteries. The scalable synthesis processes of both nanoparticles and nanowires in the 10–100 nm size range are discussed. In cycling lithium batteries, the initial specific capacity is significantly higher for nanoparticles than for nanowires. We demonstrate a linear correlation of the first Coulombic efficiency with the specific area of the Si materials. In long-term cycling tests, the electrochemical performance of the nanoparticles fades faster due to an increased internal resistance, whereas the smallest nanowires show an impressive cycling stability. Finally, the reversibility of the electrochemical processes is found to be highly dependent on the size/shape of the Si particles and its impact on lithiation depth, formation of crystalline Li15Si4 in cycling, and Li transport pathways. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Graphical abstract

11 pages, 2472 KiB  
Article
Hydration Characteristics of Tricalcium Aluminate in the Presence of Nano-Silica
by Dapeng Zheng, Manuel Monasterio, Weipeng Feng, Waiching Tang, Hongzhi Cui and Zhijun Dong
Nanomaterials 2021, 11(1), 199; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11010199 - 14 Jan 2021
Cited by 17 | Viewed by 2251
Abstract
Tricalcium aluminate (C3A) is the most reactive component of the Portland cement and its hydration has an important impact on the workability and early strength of concrete. Recently, nanomaterials such as nano-silica (nano-SiO2) have attracted much attention in cement-based [...] Read more.
Tricalcium aluminate (C3A) is the most reactive component of the Portland cement and its hydration has an important impact on the workability and early strength of concrete. Recently, nanomaterials such as nano-silica (nano-SiO2) have attracted much attention in cement-based materials because of its pozzolanic reactivity and the pore-filling effect. However, its influence on the hydration of C3A needs to be well understood. In this study, the hydration kinetics of C3A mixed with different percentages of nano-SiO2 were studied and compared with pure C3A. The hydration products were examined by different characterization techniques including XRD, XPS, and NMR spectroscopy and isothermal calorimetry analyses. The XRD results showed that the addition of nano-SiO2 promoted the conversion of the intermediate product C4AH13. The isothermal calorimetry results showed that the addition of nano-SiO2 significantly reduced the hydration exotherm rate of C3A from 0.34 to less than 0.1 mW/g. With the presence of nano-SiO2, the peaks for Q1 were observed in 29Si MAS-NMR measurements, and the content of Q1 increased from 6.74% to 30.6% when the nano-SiO2 content increased from 2 wt.% to 8 wt.%, whereas the proportion of Q4 gradually decreased from 89.1% to 63.6%. These results indicated a pozzolanic reaction provoked by the nano-SiO2 combined with aluminate structures generating C-A-S-H gel. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

19 pages, 12380 KiB  
Article
Soil Application of Nano Silica on Maize Yield and Its Insecticidal Activity Against Some Stored Insects After the Post-Harvest
by Mehrez E. El-Naggar, Nader R. Abdelsalam, Moustafa M.G. Fouda, Marwa I. Mackled, Malik A.M. Al-Jaddadi, Hayssam M. Ali, Manzer H. Siddiqui and Essam E. Kandil
Nanomaterials 2020, 10(4), 739; https://0-doi-org.brum.beds.ac.uk/10.3390/nano10040739 - 12 Apr 2020
Cited by 84 | Viewed by 5692
Abstract
Maize is considered one of the most imperative cereal crops worldwide. In this work, high throughput silica nanoparticles (SiO2-NPs) were prepared via the sol–gel technique. SiO2-NPs were attained in a powder form followed by full analysis using the advanced [...] Read more.
Maize is considered one of the most imperative cereal crops worldwide. In this work, high throughput silica nanoparticles (SiO2-NPs) were prepared via the sol–gel technique. SiO2-NPs were attained in a powder form followed by full analysis using the advanced tools (UV-vis, HR-TEM, SEM, XRD and zeta potential). To this end, SiO2-NPs were applied as both nanofertilizer and pesticide against four common pests that infect the stored maize and cause severe damage to crops. As for nanofertilizers, the response of maize hybrid to mineral NPK, “Nitrogen (N), Phosphorus (P), and Potassium (K)” (0% = untreated, 50% of recommended dose and 100%), with different combinations of SiO2-NPs; (0, 2.5, 5, 10 g/kg soil) was evaluated. Afterward, post-harvest, grains were stored and fumigated with different concentrations of SiO2-NPs (0.0031, 0.0063. 0.25, 0.5, 1.0, 2.0, 2.5, 5, 10 g/kg) in order to identify LC50 and mortality % of four common insects, namely Sitophilus oryzae, Rhizopertha dominica, Tribolium castaneum, and Orizaephilus surinamenisis. The results revealed that, using the recommended dose of 100%, mineral NPK showed the greatest mean values of plant height, chlorophyll content, yield, its components, and protein (%). By feeding the soil with SiO2-NPs up to 10 g/kg, the best growth and yield enhancement of maize crop is noticed. Mineral NPK interacted with SiO2-NPs, whereas the application of mineral NPK at the rate of 50% with 10 g/kg SiO2-NPs, increased the highest mean values of agronomic characters. Therefore, SiO2-NPs can be applied as a growth promoter, and in the meantime, as strong unconventional pesticides for crops during storage, with a very small and safe dose. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

Review

Jump to: Editorial, Research

55 pages, 15998 KiB  
Review
Functional Devices from Bottom-Up Silicon Nanowires: A Review
by Tabassom Arjmand, Maxime Legallais, Thi Thu Thuy Nguyen, Pauline Serre, Monica Vallejo-Perez, Fanny Morisot, Bassem Salem and Céline Ternon
Nanomaterials 2022, 12(7), 1043; https://0-doi-org.brum.beds.ac.uk/10.3390/nano12071043 - 22 Mar 2022
Cited by 17 | Viewed by 3349
Abstract
This paper summarizes some of the essential aspects for the fabrication of functional devices from bottom-up silicon nanowires. In a first part, the different ways of exploiting nanowires in functional devices, from single nanowires to large assemblies of nanowires such as nanonets (two-dimensional [...] Read more.
This paper summarizes some of the essential aspects for the fabrication of functional devices from bottom-up silicon nanowires. In a first part, the different ways of exploiting nanowires in functional devices, from single nanowires to large assemblies of nanowires such as nanonets (two-dimensional arrays of randomly oriented nanowires), are briefly reviewed. Subsequently, the main properties of nanowires are discussed followed by those of nanonets that benefit from the large numbers of nanowires involved. After describing the main techniques used for the growth of nanowires, in the context of functional device fabrication, the different techniques used for nanowire manipulation are largely presented as they constitute one of the first fundamental steps that allows the nanowire positioning necessary to start the integration process. The advantages and disadvantages of each of these manipulation techniques are discussed. Then, the main families of nanowire-based transistors are presented; their most common integration routes and the electrical performance of the resulting devices are also presented and compared in order to highlight the relevance of these different geometries. Because they can be bottlenecks, the key technological elements necessary for the integration of silicon nanowires are detailed: the sintering technique, the importance of surface and interface engineering, and the key role of silicidation for good device performance. Finally the main application areas for these silicon nanowire devices are reviewed. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Graphical abstract

26 pages, 5946 KiB  
Review
Recent Progress of Black Silicon: From Fabrications to Applications
by Zheng Fan, Danfeng Cui, Zengxing Zhang, Zhou Zhao, Hongmei Chen, Yanyun Fan, Penglu Li, Zhidong Zhang, Chenyang Xue and Shubin Yan
Nanomaterials 2021, 11(1), 41; https://0-doi-org.brum.beds.ac.uk/10.3390/nano11010041 - 26 Dec 2020
Cited by 49 | Viewed by 7317
Abstract
Since black silicon was discovered by coincidence, the special material was explored for many amazing material characteristics in optical, surface topography, and so on. Because of the material property, black silicon is applied in many spheres of a photodetector, photovoltaic cell, photo-electrocatalysis, antibacterial [...] Read more.
Since black silicon was discovered by coincidence, the special material was explored for many amazing material characteristics in optical, surface topography, and so on. Because of the material property, black silicon is applied in many spheres of a photodetector, photovoltaic cell, photo-electrocatalysis, antibacterial surfaces, and sensors. With the development of fabrication technology, black silicon has expanded in more and more applications and has become a research hotspot. Herein, this review systematically summarizes the fabricating method of black silicon, including nanosecond or femtosecond laser irradiation, metal-assisted chemical etching (MACE), reactive ion etching (RIE), wet chemical etching, electrochemical method, and plasma immersion ion implantation (PIII) methods. In addition, this review focuses on the progress in multiple black silicon applications in the past 10 years. Finally, the prospect of black silicon fabricating and various applications are outlined. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

31 pages, 6022 KiB  
Review
Recent Advances in Functionalized Mesoporous Silica Frameworks for Efficient Desulfurization of Fuels
by Shruti Mendiratta and Ahmed Atef Ahmed Ali
Nanomaterials 2020, 10(6), 1116; https://0-doi-org.brum.beds.ac.uk/10.3390/nano10061116 - 05 Jun 2020
Cited by 15 | Viewed by 3963
Abstract
Considerable health and climate benefits arising from the use of low-sulfur fuels has propelled the research on desulfurization of fossil fuels. Ideal fuels are urgently needed and are expected to be ultra-low in sulfur (10–15 ppm), with no greater than 50 ppm sulfur [...] Read more.
Considerable health and climate benefits arising from the use of low-sulfur fuels has propelled the research on desulfurization of fossil fuels. Ideal fuels are urgently needed and are expected to be ultra-low in sulfur (10–15 ppm), with no greater than 50 ppm sulfur content. Although several sulfur removal techniques are available in refineries and petrochemical units, their high operational costs, complex operational needs, low efficiencies, and higher environmental risks render them unviable and challenging to implement. In recent years, mesoporous silica-based materials have emerged as promising desulfurizing agents, owing to their high porosity, high surface area, and easier functionalization compared to conventional materials. In this review, we report on recent progress in the synthesis and chemistry of new functionalized mesoporous silica materials aiming to lower the sulfur content of fuels. Additionally, we discuss the role of special active sites in these sorbent materials and investigate the formulations capable of encapsulating and trapping the sulfur-based molecules, which are challenging to remove due to their complexity, for example the species present in JP-8 jet fuels. Full article
(This article belongs to the Special Issue Silica and Silicon Based Nanostructures)
Show Figures

Figure 1

Back to TopTop