Advances in Plasma Processes for Polymers

A special issue of Polymers (ISSN 2073-4360). This special issue belongs to the section "Polymer Processing and Engineering".

Deadline for manuscript submissions: closed (31 March 2022) | Viewed by 59282

Printed Edition Available!
A printed edition of this Special Issue is available here.

Special Issue Editor


E-Mail Website
Guest Editor
Electrical Engineering, College of Engineering, Milligan University, Johnson City, TN 37682, USA
Interests: atmospheric pressure plasma; microplasma jet device; plasma polymerization; solution plasma; bio applications; microdischarge; Dielectric Barrier Discharge (DBD); high-pressure plasma; plasma thruster; ion propulsion; flexible microplasma thruster; plasma cancer therapy; plasma endodontics; Polymer Light-Emitting Diodes (PLEDs); short-time and long-time (life time) discharge characteristics of plasma display panel and plasma devices
Special Issues, Collections and Topics in MDPI journals

Special Issue Information

Dear Colleagues,

Polymerized nanoparticles and nanofibers can be prepared using various processes, such as chemical synthesis, the electrochemical method, electrospinning, ultrasonic irradiation, hard and soft templates, seeding polymerization, interfacial polymerization, and plasma polymerization. Among these processes, plasma polymerization and aerosol-through-plasma (A-T-P) processes have versatile advantages, especially due to having a “dry” process, for the deposition of plasma polymer films and carbon-based materials with functional properties suitable for a wide range of applications, such as electronic and optical devices, protective coatings, and biomedical materials. Furthermore, it is well-known that plasma polymers are highly cross-linked, pinhole-free, branched, insoluble, and adhere well to most substrates. In order to synthesize the polymer films using the plasma processes, therefore, it is very important to increase the density and electron temperature of plasma during plasma polymerization.

This Special Issue aims to compile original and cutting-edge research works in the fields of plasma process, polymerization, synthesis, characterization, treatment, modification, manufacturing, and applications of functional plasma-processed polymers.

Prof. Dr. Choon-Sang Park
Guest Editor

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Polymers is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2700 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Keywords

  • low-pressure plasma
  • atmospheric pressure plasma
  • plasma process
  • plasma polymerization
  • plasma synthesis
  • plasma deposition
  • dielectric barrier discharge
  • fragmentation
  • recombination
  • polymer
  • conductive polymer
  • copolymer
  • plasma treatment
  • surface modification
  • large area treatment and deposition

Published Papers (22 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Research

Jump to: Review

13 pages, 3091 KiB  
Article
Optimization of Atmospheric Pressure Plasma Jet with Single-Pin Electrode Configuration and Its Application in Polyaniline Thin Film Growth
by Eun Young Jung, Choon-Sang Park, Hyo Jun Jang, Shahzad Iqbal, Tae Eun Hong, Bhum Jae Shin, Muhan Choi and Heung-Sik Tae
Polymers 2022, 14(8), 1535; https://0-doi-org.brum.beds.ac.uk/10.3390/polym14081535 - 10 Apr 2022
Cited by 4 | Viewed by 1674
Abstract
This study systematically investigated an atmospheric pressure plasma reactor with a centered single pin electrode inside a dielectric tube for depositing the polyaniline (PANI) thin film based on the experimental case studies relative to variations in pin electrode configurations (cases I, II, and [...] Read more.
This study systematically investigated an atmospheric pressure plasma reactor with a centered single pin electrode inside a dielectric tube for depositing the polyaniline (PANI) thin film based on the experimental case studies relative to variations in pin electrode configurations (cases I, II, and III), bluff-body heights, and argon (Ar) gas flow rates. In these cases, the intensified charge-coupled device and optical emission spectroscopy were analyzed to investigate the factors affecting intensive glow-like plasma generation for deposition with a large area. Compared to case I, the intense glow-like plasma of the cases II and III generated abundant reactive nitrogen species (RNSs) and excited argon radical species for fragmentation and recombination of PANI. In case III, the film thickness and deposition rate of the PANI thin film were about 450 nm and 7.5 nm/min, respectively. This increase may imply that the increase in the excited radical species contributes to the fragmentation and recombination due to the increase in RNSs and excited argon radicals during the atmospheric pressure (AP) plasma polymerization to obtain the PANI thin film. This intense glow-like plasma generated broadly by the AP plasma reactor can uniformly deposit the PANI thin film, which is confirmed by field emission-scanning electron microscopy and Fourier transform infrared spectroscopy. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

12 pages, 2616 KiB  
Article
Changes in Surface Characteristics of BOPP Foil after Treatment by Ambient Air Plasma Generated by Coplanar and Volume Dielectric Barrier Discharge
by Petra Šrámková, Zlata Kelar Tučeková, Michal Fleischer, Jakub Kelar and Dušan Kováčik
Polymers 2021, 13(23), 4173; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13234173 - 29 Nov 2021
Cited by 6 | Viewed by 2127
Abstract
Biaxially oriented polypropylene (BOPP) is a highly transparent polymer defined by excellent mechanical and barrier properties applicable in the food packaging industry. However, its low surface free energy restricts its use in many industrial processes and needs to be improved. The presented study [...] Read more.
Biaxially oriented polypropylene (BOPP) is a highly transparent polymer defined by excellent mechanical and barrier properties applicable in the food packaging industry. However, its low surface free energy restricts its use in many industrial processes and needs to be improved. The presented study modifies a BOPP surface using two different atmospheric-pressure plasma sources operating in ambient air and capable of inline processing. The volume dielectric barrier discharge (VDBD) and diffuse coplanar surface barrier discharge (DCSBD) were applied to improve the wettability and adhesion of the 1–10 s treated surface. The changes in morphology and surface chemistry were analyzed by SEM, AFM, WCA/SFE, and XPS, and adhesion was evaluated by a peel force test. Comparing both plasma sources revealed their similar effect on surface wettability and incorporation of polar functional groups. Additionally, higher surface roughness in the case of VDBD treatment contributed to slightly more efficient adhesion in comparison to DCSBD. Although we achieved comparable results for both plasma sources in the term of enhanced surface wettability, degree of oxidation, and stability of induced changes, DCSBD had less effect on the surface deterioration than VDBD, where surface structuring caused an undesirable haze. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

17 pages, 4080 KiB  
Article
Surface Modification of Poly(lactic acid) Film via Cold Plasma Assisted Grafting of Fumaric and Ascorbic Acid
by Asma Abdulkareem, Peter Kasak, Mohammed G. Nassr, Abdelrahman A. Mahmoud, Mahmoud Khatib A. A. Al-Ruweidi, Khalid J. Mohamoud, Mohammed K. Hussein and Anton Popelka
Polymers 2021, 13(21), 3717; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13213717 - 28 Oct 2021
Cited by 11 | Viewed by 2278
Abstract
Plant-based materials have found their application in the packaging with a yearly growing production rate. These naturally biodegradable polymers are obtained from renewable and sustainable natural resources with reduced environmental impact and affordable cost. These materials have found their utilization in fully-renewable plant-based [...] Read more.
Plant-based materials have found their application in the packaging with a yearly growing production rate. These naturally biodegradable polymers are obtained from renewable and sustainable natural resources with reduced environmental impact and affordable cost. These materials have found their utilization in fully-renewable plant-based packaging products, such as Tetra Pak®-like containers, by replacing commonly-used polyethylene as the polymer component. Poly(lactic acid) (PLA) is one of the representative plant-based polymers because of its eco-friendliness and excellent chemical and mechanical properties. In this work, a PLA surface was modified by various food additives, namely ascorbic acid (ASA) and fumaric acid (FA), using plasma-initiated grafting reactions in order to improve the surface and adhesion properties of PLA. Various analytical and microscopic techniques were employed to prove the grafting process. Moreover, the improved adhesion of the modified PLA foil to aluminum (Al) foil in a laminate configuration was proven by peel resistance measurements. The peel resistance of modified PLA increased by 74% and 184% for samples modified by ASA and FA, respectively, compared with untreated PLA. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

17 pages, 6110 KiB  
Article
Hydrophobic Leather Coating for Footwear Applications by a Low-Pressure Plasma Polymerisation Process
by Carlos Ruzafa Silvestre, María Pilar Carbonell Blasco, Saray Ricote López, Henoc Pérez Aguilar, María Ángeles Pérez Limiñana, Elena Bañón Gil, Elena Orgilés Calpena and Francisca Arán Ais
Polymers 2021, 13(20), 3549; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13203549 - 14 Oct 2021
Cited by 9 | Viewed by 2558
Abstract
The aim of this work is to develop hydrophobic coatings on leather materials by plasma polymerisation with a low-pressure plasma system using an organosilicon compound, such as hexamethyldisiloxane (HMDSO), as chemical precursor. The hydrophobic coatings obtained by this plasma process were evaluated with [...] Read more.
The aim of this work is to develop hydrophobic coatings on leather materials by plasma polymerisation with a low-pressure plasma system using an organosilicon compound, such as hexamethyldisiloxane (HMDSO), as chemical precursor. The hydrophobic coatings obtained by this plasma process were evaluated with different experimental techniques such as Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and standardised tests including colour measurements of the samples, surface coating thickness and water contact angle (WCA) measurements. The results obtained indicated that the monomer had polymerised correctly and completely on the leather surface creating an ultra-thin layer based on polysiloxane. The surface modification produced a water repellent effect on the leather that does not alter the visual appearance and haptic properties. Therefore, the application of the plasma deposition process showed promising results that makes it a more sustainable alternative to conventional functional coatings, thus helping to reduce the use of hazardous chemicals in the finishing process of footwear manufacturing. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

15 pages, 5336 KiB  
Article
Insights on the Atmospheric-Pressure Plasma-Induced Free-Radical Polymerization of Allyl Ether Cyclic Carbonate Liquid Layers
by Edyta M. Niemczyk, Alvaro Gomez-Lopez, Jean R. N. Haler, Gilles Frache, Haritz Sardon and Robert Quintana
Polymers 2021, 13(17), 2856; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13172856 - 25 Aug 2021
Cited by 7 | Viewed by 2462
Abstract
Plasma-induced free-radical polymerizations rely on the formation of radical species to initiate polymerization, leading to some extent of monomer fragmentation. In this work, the plasma-induced polymerization of an allyl ether-substituted six-membered cyclic carbonate (A6CC) is demonstrated and emphasizes the retention of the cyclic [...] Read more.
Plasma-induced free-radical polymerizations rely on the formation of radical species to initiate polymerization, leading to some extent of monomer fragmentation. In this work, the plasma-induced polymerization of an allyl ether-substituted six-membered cyclic carbonate (A6CC) is demonstrated and emphasizes the retention of the cyclic carbonate moieties. Taking advantage of the low polymerization tendency of allyl monomers, the characterization of the oligomeric species is studied to obtain insights into the effect of plasma exposure on inducing free-radical polymerization. In less than 5 min of plasma exposure, a monomer conversion close to 90% is obtained. The molecular analysis of the oligomers by gel permeation chromatography coupled with high-resolution mass spectrometry (GPC-HRMS) further confirms the high preservation of the cyclic structure and, based on the detected end groups, points to hydrogen abstraction as the main contributor to the initiation and termination of polymer chain growth. These results demonstrate that the elaboration of surfaces functionalized with cyclic carbonates could be readily elaborated by atmospheric-pressure plasmas, for instance, by copolymerization. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

19 pages, 10853 KiB  
Article
Visualization of Activated Area on Polymers for Evaluation of Atmospheric Pressure Plasma Jets
by Dariusz Korzec, Thomas Andres, Eva Brandes and Stefan Nettesheim
Polymers 2021, 13(16), 2711; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13162711 - 13 Aug 2021
Cited by 7 | Viewed by 2057
Abstract
The treatment of a polymer surface using an atmospheric pressure plasma jet (APPJ) causes a local increase of the surface free energy (SFE). The plasma-treated zone can be visualized with the use of a test ink and quantitatively evaluated. However, the inked area [...] Read more.
The treatment of a polymer surface using an atmospheric pressure plasma jet (APPJ) causes a local increase of the surface free energy (SFE). The plasma-treated zone can be visualized with the use of a test ink and quantitatively evaluated. However, the inked area is shrinking with time. The shrinkage characteristics are collected using activation image recording (AIR). The recording is conducted by a digital camera. The physical mechanisms of activation area shrinkage are discussed. The error sources are analyzed and methods of error reduction are proposed. The standard deviation of the activation area is less than 3%. Three polymers, acrylonitrile butadiene styrene (ABS), high-density polyethylene (HDPE), and polyoxymethylene (POM), are examined as a test substrate material. Due to a wide variation range of SFE and a small hydrophobic recovery, HDPE is chosen. Since the chemical mixtures tend to temporal changes of the stoichiometry, the pure formamide test ink with 58 mN/m is selected. The method is tested for the characterization of five different types of discharge: (i) pulsed arc APPJ with the power of about 700 W; (ii) piezoelectric direct discharge APPJ; (iii) piezoelectric driven needle corona in ambient air; (iv) piezoelectric driven plasma needle in argon; and (v) piezoelectric driven dielectric barrier discharge (DBD). For piezoelectrically driven discharges, the power was either 4.5 W or 8 W. It is shown how the AIR method can be used to solve different engineering problems. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

15 pages, 930 KiB  
Article
Local Inflammatory Response after Intramuscularly Implantation of Anti-Adhesive Plasma-Fluorocarbon-Polymer Coated Ti6AI4V Discs in Rats
by Charlotte Koppe, Andreas Hoene, Uwe Walschus, Birgit Finke, Holger Testrich, Christopher Pohl, Nico Brandt, Maciej Patrzyk, Jürgen Meichsner, Barbara Nebe and Michael Schlosser
Polymers 2021, 13(16), 2684; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13162684 - 11 Aug 2021
Cited by 2 | Viewed by 1986
Abstract
Orthopaedic implants and temporary osteosynthesis devices are commonly based on Titanium (Ti). For short-term devices, cell-material contact should be restricted for easy removal after bone healing. This could be achieved with anti-adhesive plasma-fluorocarbon-polymer (PFP) films created by low-temperature plasma processes. Two different PFP [...] Read more.
Orthopaedic implants and temporary osteosynthesis devices are commonly based on Titanium (Ti). For short-term devices, cell-material contact should be restricted for easy removal after bone healing. This could be achieved with anti-adhesive plasma-fluorocarbon-polymer (PFP) films created by low-temperature plasma processes. Two different PFP thin film deposition techniques, microwave (MW) and radiofrequency (RF) discharge plasma, were applied to receive smooth, hydrophobic surfaces with octafluoropropane (C3F8) or hexafluorohexane (C6F6) as precursors. This study aimed at examining the immunological local tissue reactions after simultaneous intramuscular implantation of four different Ti samples, designated as MW-C3F8, MW-C6F6, RF-C3F8 and Ti-controls, in rats. A differentiated morphometric evaluation of the inflammatory reaction was conducted by immunohistochemical staining of CD68+ macrophages, CD163+ macrophages, MHC class II-positive cells, T lymphocytes, CD25+ regulatory T lymphocytes, NK cells and nestin-positive cells in cryosections of surrounding peri-implant tissue. Tissue samples were obtained on days 7, 14 and 56 for investigating the acute and chronical inflammation (n = 8 rats/group). Implants with a radiofrequency discharge plasma (RF-C3F8) coating exhibited a favorable short- and long-term immune/inflammatory response comparable to Ti-controls. This was also demonstrated by the significant decrease in pro-inflammatory CD68+ macrophages, possibly downregulated by significantly increasing regulatory T lymphocytes. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

15 pages, 7062 KiB  
Article
Tuning the Surface Wettability of Cyclic Olefin Copolymer by Plasma Treatment and Graphene Oxide Deposition and Reduction
by Fadi Dawaymeh, Yawar Abbas, Maryam Khaleel, Anas Alazzam and Nahla Alamoodi
Polymers 2021, 13(14), 2305; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13142305 - 14 Jul 2021
Cited by 16 | Viewed by 3007
Abstract
Selective altering of surface wettability in microfluidic channels provides a suitable platform for a large range of processes, such as the phase separation of multiphase systems, synthesis of reaction controlled, nanoliter sized droplet reactors, and catalyst impregnation. Herein we study the feasibility to [...] Read more.
Selective altering of surface wettability in microfluidic channels provides a suitable platform for a large range of processes, such as the phase separation of multiphase systems, synthesis of reaction controlled, nanoliter sized droplet reactors, and catalyst impregnation. Herein we study the feasibility to tune the wettability of a flexible cyclic olefin copolymer (COC). Two methods were considered for enhancing the surface hydrophilicity. The first is argon/oxygen plasma treatment, where the effect of treatment duration on water contact angle and COC surface morphology and chemistry were investigated, and the second is coating COC with GO dispersions of different concentrations. For enhancing the hydrophobicity of GO-coated COC surfaces, three reduction methods were considered: chemical reduction by Hydroiodic acid (HI), thermal reduction, and photo reduction by exposure of GO-coated COC to UV light. The results show that as the GO concentration and plasma treatment duration increased, a significant decrease in contact angle was observed, which confirmed the ability to enhance the wettability of the COC surface. The increase in hydrophilicity during plasma treatment was associated with the increase in surface roughness on the treated surfaces, while the increase during GO coating was associated with introducing oxygen-containing groups on the GO-coated COC surfaces. The results also show that the different reduction methods considered can increase the contact angle and improve the hydrophobicity of a GO-coated COC surface. It was found that the significant improvement in hydrophobicity was related to the reduction of oxygen-containing groups on the GO-coated COC modified surface. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

16 pages, 7718 KiB  
Article
The Oleofobization of Paper via Plasma Treatment
by Matic Resnik, Eva Levičnik, Žiga Gosar, Rok Zaplotnik, Janez Kovač, Jernej Ekar, Miran Mozetič and Ita Junkar
Polymers 2021, 13(13), 2148; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13132148 - 29 Jun 2021
Cited by 3 | Viewed by 1722
Abstract
Cellulose is a promising biomass material suitable for high volume applications. Its potential lies in sustainability, which is becoming one of the leading trends in industry. However, there are certain drawbacks of cellulose materials which limit their use, especially their high wettability and [...] Read more.
Cellulose is a promising biomass material suitable for high volume applications. Its potential lies in sustainability, which is becoming one of the leading trends in industry. However, there are certain drawbacks of cellulose materials which limit their use, especially their high wettability and low barrier properties, which can be overcome by applying thin coatings. Plasma technologies present a high potential for deposition of thin environmentally friendly and recyclable coatings. In this paper, two different plasma reactors were used for coating two types of cellulose-based substrates with hexamethyldisiloxane (HMDSO). The changes in surface characteristics were measured by atomic force microscopy (AFM), scanning electron microscopy (SEM), surface free energy and contact angles measurements, X-ray photoelectron spectroscopy (XPS), and secondary ion mass spectrometry (SIMS). Successful oleofobization was observed for an industrial scale reactor where pure HMDSO was used in the absence of oxygen. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

10 pages, 1350 KiB  
Communication
Electrical Supply Circuit for a Cold Plasma Source at Atmospheric Pressure Based on a Voltage Multiplier
by Ovidiu S. Stoican
Polymers 2021, 13(13), 2132; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13132132 - 29 Jun 2021
Viewed by 2553
Abstract
A cold plasma source operating at atmospheric pressure powered by a voltage multiplier is reported. In addition to its usual high voltage output, there is an intermediate output of lower voltage and higher current capability. A discharge current is drawn from both outputs. [...] Read more.
A cold plasma source operating at atmospheric pressure powered by a voltage multiplier is reported. In addition to its usual high voltage output, there is an intermediate output of lower voltage and higher current capability. A discharge current is drawn from both outputs. The ratio of the current supplied by each output depends on the operating state, namely, before or after the plasma jet formation. The electrical circuit is equivalent to two dc sources connected in parallel, used to initiate and sustain the electrical discharge. The plasma source is aimed to study the effect of cold plasma on the surface of various liquid or solid materials, including polymers. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

15 pages, 3621 KiB  
Article
Digital Sublimation Printing on Knitted Polyamide 6.6 Fabric Treated with Non-Thermal Plasma
by Marcia Cristina Silva, Gilberto Petraconi, Ricardo Rodrigues Ramos Cecci, Adriano Alves Passos, Wanderson Ferraz do Valle, Bruno Braite, Sérgio Ricardo Lourenço and Fernando Gasi
Polymers 2021, 13(12), 1969; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13121969 - 15 Jun 2021
Cited by 5 | Viewed by 2617
Abstract
The garment industry demands stamping processes that are increasingly more agile and less damaging to the environment. In this scenario, digital printing, with the sublimation transfer printing technique, presents itself as a viable option for synthetic textile substrates. Among the synthetic fibres, polyamide [...] Read more.
The garment industry demands stamping processes that are increasingly more agile and less damaging to the environment. In this scenario, digital printing, with the sublimation transfer printing technique, presents itself as a viable option for synthetic textile substrates. Among the synthetic fibres, polyamide (P.A.) fibres stand out, as they are light, soft, durable, and boast moderate sweat absorption; however, before sublimation, superficial treatment is necessary in order to present good results such as withstanding washing and maintaining colour intensity. This study addresses the surface modification of the PA6.6 textile substrate by activating non-thermal plasma at atmospheric pressure to receive dye through the sublimation method with dispersed dye. The knitted PA6.6 fabric surface treatment was performed with plasma application at atmospheric pressure using air in the Plasmatreater AS400 equipment. The sublimation transfer effects were evaluated by wash fastness and colourimetric tests. To assess the wettability effect of the control and treated samples, a contact angle test was carried out on PA6.6 samples. Fourier transform infrared spectroscopy (FTIR) proved the changes in chemical functional groups in the fibres. The results showed a decrease in the contact angle of the textile surface, 4–5 grayscale results for colour change and transfer for washing, and an increase in colour strength. In the FTIR tests, there is an increase in the transmittance value of aromatic, carboxylic groups (C=O, 580 cm−1), amides (N=H, 1630 cm−1), and methyl groups (CH 1369 to 1463 cm−1) as well as the presence of new functional groups in the 3064 cm−1 and 2860 cm−1 bands. These conditions allowed sublimation in the knitted PA6.6 fabric and showed increased colour strength and good wash fastness. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

7 pages, 1387 KiB  
Article
Preliminary Validation of a Continuum Model for Dimple Patterns on Polyethylene Naphthalate via Ar Ion Beam Sputtering
by Jun-Yeong Yang, Sunghoon Jung, Eun-Yeon Byeon, Hyun Hwi Lee, Do-Geun Kim, Hyo Jung Kim, Ho Won Jang and Seunghun Lee
Polymers 2021, 13(12), 1932; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13121932 - 10 Jun 2021
Cited by 3 | Viewed by 2203
Abstract
This work reports the self-organization of dimple nanostructures on a polyethylene naphthalate (PEN) surface where an Ar ion beam was irradiated at an ion energy of 600 eV. The peak-to-peak roughness and diameter of dimple nanostructures were 29.1~53.4 nm and 63.4~77.6 nm, respectively. [...] Read more.
This work reports the self-organization of dimple nanostructures on a polyethylene naphthalate (PEN) surface where an Ar ion beam was irradiated at an ion energy of 600 eV. The peak-to-peak roughness and diameter of dimple nanostructures were 29.1~53.4 nm and 63.4~77.6 nm, respectively. The electron energy loss spectrum at the peaks and troughs of dimples showed similar C=C, C=O, and O=CH bonding statuses. In addition, wide-angle X-ray scattering showed that Ar ion beam irradiation did not induce crystallization of the PEN surface. That meant that the self-organization on the PEN surface could be due to the ion-induced surface instability of the amorphous layer and not due to the partial crystallinity differences of the peaks and valleys. A nonlinear continuum model described surface instability due to Ar ion-induced sputtering. The Kuramoto–Sivashinsky model reproduced the dimple morphologies numerically, which was similar to the experimentally observed dimple patterns. This preliminary validation showed the possibility that the continuum equation used for metal and semiconductor surfaces could be applied to polymer surfaces where ion beam sputtering occurred. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

12 pages, 1906 KiB  
Article
Ultrafast Room Temperature Synthesis of Porous Polythiophene via Atmospheric Pressure Plasma Polymerization Technique and Its Application to NO2 Gas Sensors
by Choon-Sang Park, Do Yeob Kim, Eun Young Jung, Hyo Jun Jang, Gyu Tae Bae, Jae Young Kim, Bhum Jae Shin, Hyung-Kun Lee and Heung-Sik Tae
Polymers 2021, 13(11), 1783; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13111783 - 28 May 2021
Cited by 13 | Viewed by 2416
Abstract
New nanostructured conducting porous polythiophene (PTh) films are directly deposited on substrates at room temperature (RT) by novel atmospheric pressure plasma jets (APPJs) polymerization technique. The proposed plasma polymerization synthesis technique can grow the PTh films with a very fast deposition rate of [...] Read more.
New nanostructured conducting porous polythiophene (PTh) films are directly deposited on substrates at room temperature (RT) by novel atmospheric pressure plasma jets (APPJs) polymerization technique. The proposed plasma polymerization synthesis technique can grow the PTh films with a very fast deposition rate of about 7.0 μm·min−1 by improving the sufficient nucleation and fragment of the thiophene monomer. This study also compares pure and iodine (I2)-doped PTh films to demonstrate the effects of I2 doping. To check the feasibility as a sensing material, NO2-sensing properties of the I2-doped PTh films-based gas sensors are also investigated. As a result, the proposed APPJs device can produce the high density, porous and ultra-fast polymer films, and polymers-based gas sensors have high sensitivity to NO2 at RT. Our approach enabled a series of processes from synthesis of sensing materials to fabrication of gas sensors to be carried out simultaneously. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

16 pages, 2496 KiB  
Article
On the Effectiveness of Oxygen Plasma and Alkali Surface Treatments to Modify the Properties of Polylactic Acid Scaffolds
by Ricardo Donate, María Elena Alemán-Domínguez and Mario Monzón
Polymers 2021, 13(10), 1643; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13101643 - 18 May 2021
Cited by 10 | Viewed by 1983
Abstract
Surface modification of 3D-printed PLA structures is a major issue in terms of increasing the biofunctionality and expanding the tissue engineering applications of these parts. In this paper, different exposure times were used for low-pressure oxygen plasma applied to PLA 3D-printed scaffolds. Alkali [...] Read more.
Surface modification of 3D-printed PLA structures is a major issue in terms of increasing the biofunctionality and expanding the tissue engineering applications of these parts. In this paper, different exposure times were used for low-pressure oxygen plasma applied to PLA 3D-printed scaffolds. Alkali surface treatments were also evaluated, aiming to compare the modifications introduced on the surface properties by each strategy. Surface-treated samples were characterized through the quantification of carboxyl groups, energy-dispersive X-ray spectroscopy, water contact angle measurements, and differential scanning calorimetry analysis. The change in the surface properties was studied over a two-week period. In addition, an enzymatic degradation analysis was carried out to evaluate the effect of the surface treatments on the degradation profile of the 3D structures. The physicochemical characterization results suggest different mechanism pathways for each type of treatment. Alkali-treated scaffolds showed a higher concentration of carboxyl groups on their surface, which enhanced the enzymatic degradation rate, but were also proven to be more aggressive towards 3D-printed structures. In contrast, the application of the plasma treatments led to an increased hydrophilicity of the PLA surface without affecting the bulk properties. However, the changes on the properties were less steady over time. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

19 pages, 5760 KiB  
Article
Enhancement of Adhesion Characteristics of Low-Density Polyethylene Using Atmospheric Plasma Initiated-Grafting of Polyethylene Glycol
by Taghreed Abdulhameed Al-Gunaid, Igor Krupa, Mabrouk Ouederni, Senthil Kumar Krishnamoorthy and Anton Popelka
Polymers 2021, 13(8), 1309; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13081309 - 16 Apr 2021
Cited by 9 | Viewed by 4000
Abstract
The low-density polyethylene/aluminum (LDPE/Al) joint in Tetra Pak provides stability and strength to food packaging, ensures protection against outside moisture, and maintains the nutritional values and flavors of food without the need for additives in the food products. However, a poor adhesion of [...] Read more.
The low-density polyethylene/aluminum (LDPE/Al) joint in Tetra Pak provides stability and strength to food packaging, ensures protection against outside moisture, and maintains the nutritional values and flavors of food without the need for additives in the food products. However, a poor adhesion of LDPE to Al, due to its non-polar surface, is a limiting factor and extra polymeric interlayers or surface treatment is required. Plasma-assisted grafting of the LDPE surface with different molecular weight compounds of polyethylene glycol (PEG) was used to improve LDPE/Al adhesion. It was found that this surface modification contributed to significantly improve the wettability of the LDPE surface, as was confirmed by contact angle measurements. The chemical composition changes after plasma treatment and modification process were observed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR). A surface morphology was analyzed by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Adhesion characteristics of LDPE/Al adhesive joints were analyzed by the peel tests. The most significant adhesion improvement of the PEG modified LDPE surface was achieved using 10.0 wt.% aqueous (6000 M) PEG solution, while the peel resistance increased by approximately 54 times in comparison with untreated LDPE. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

16 pages, 3065 KiB  
Article
Plasma-Assisted Synthesis of Multicomponent Nanoparticles Containing Carbon, Tungsten Carbide and Silver as Multifunctional Filler for Polylactic Acid Composite Films
by Nichapat Boonyeun, Ratana Rujiravanit and Nagahiro Saito
Polymers 2021, 13(7), 991; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13070991 - 24 Mar 2021
Cited by 3 | Viewed by 2860
Abstract
Multicomponent nanoparticles containing carbon, tungsten carbide and silver (carbon-WC-Ag nanoparticles) were simply synthesized via in-liquid electrical discharge plasma, the so-called solution plasma process, by using tungsten electrodes immersed in palm oil containing droplets of AgNO3 solution as carbon and silver precursors, respectively. [...] Read more.
Multicomponent nanoparticles containing carbon, tungsten carbide and silver (carbon-WC-Ag nanoparticles) were simply synthesized via in-liquid electrical discharge plasma, the so-called solution plasma process, by using tungsten electrodes immersed in palm oil containing droplets of AgNO3 solution as carbon and silver precursors, respectively. The atomic ratio of carbon:W:Ag in carbon-WC-Ag nanoparticles was 20:1:3. FE-SEM images revealed that the synthesized carbon-WC-Ag nanoparticles with particle sizes in the range of 20–400 nm had a spherical shape with a bumpy surface. TEM images of carbon-WC-Ag nanoparticles showed that tungsten carbide nanoparticles (WCNPs) and silver nanoparticles (AgNPs) with average particle sizes of 3.46 nm and 72.74 nm, respectively, were dispersed in amorphous carbon. The carbon-WC-Ag nanoparticles were used as multifunctional fillers for the preparation of polylactic acid (PLA) composite films, i.e., PLA/carbon-WC-Ag, by solution casting. Interestingly, the coexistence of WCNPs and AgNPs in carbon-WC-Ag nanoparticles provided a benefit for the co-nucleation ability of WCNPs and AgNPs, resulting in enhanced crystallization of PLA, as evidenced by the reduction in the cold crystallization temperature of PLA. At the low content of 1.23 wt% carbon-WC-Ag nanoparticles, the Young’s modulus and tensile strength of PLA/carbon-WC-Ag composite films were increased to 25.12% and 46.08%, respectively. Moreover, the PLA/carbon-WC-Ag composite films possessed antibacterial activities. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

14 pages, 3966 KiB  
Article
In-Situ Iodine Doping Characteristics of Conductive Polyaniline Film Polymerized by Low-Voltage-Driven Atmospheric Pressure Plasma
by Jae Yong Kim, Shahzad Iqbal, Hyo Jun Jang, Eun Young Jung, Gyu Tae Bae, Choon-Sang Park and Heung-Sik Tae
Polymers 2021, 13(3), 418; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13030418 - 28 Jan 2021
Cited by 13 | Viewed by 2657
Abstract
In-situ iodine (I2)-doped atmospheric pressure (AP) plasma polymerization is proposed, based on a newly designed AP plasma reactor with a single wire electrode that enables low-voltage-driven plasma polymerization. The proposed AP plasma reactor can proceed plasma polymerization at low voltage levels, [...] Read more.
In-situ iodine (I2)-doped atmospheric pressure (AP) plasma polymerization is proposed, based on a newly designed AP plasma reactor with a single wire electrode that enables low-voltage-driven plasma polymerization. The proposed AP plasma reactor can proceed plasma polymerization at low voltage levels, thereby enabling an effective in-situ I2 doping process by maintaining a stable glow discharge state even if the applied voltage increases due to the use of a discharge gas containing a large amount of monomer vapors and doping materials. The results of field-emission scanning electron microscopy (FE-SEM) and Fourier transformation infrared spectroscopy (FT-IR) show that the polyaniline (PANI) films are successfully deposited on the silicon (Si) substrates, and that the crosslinking pattern of the synthesized nanoparticles is predominantly vertically aligned. In addition, the in-situ I2-doped PANI film fabricated by the proposed AP plasma reactor exhibits excellent electrical resistance without electrical aging behavior. The developed AP plasma reactor proposed in this study is more advantageous for the polymerization and in-situ I2 doping of conductive polymer films than the existing AP plasma reactor with a dielectric barrier. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

12 pages, 5726 KiB  
Article
Microfiltration Membranes Modified with Composition of Titanium Oxide and Silver Oxide by Magnetron Sputtering
by Joanna Kacprzyńska-Gołacka, Monika Łożyńska, Wioletta Barszcz, Sylwia Sowa, Piotr Wieciński and Ewa Woskowicz
Polymers 2021, 13(1), 141; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13010141 - 31 Dec 2020
Cited by 11 | Viewed by 2290
Abstract
In this work, the authors present the possibility of modification of polymer membranes by TiO2 + AgO coating created by the magnetron sputtering method. The two-component TiO2 + AgO coating can improve and shape new functional properties such as bactericidal and [...] Read more.
In this work, the authors present the possibility of modification of polymer membranes by TiO2 + AgO coating created by the magnetron sputtering method. The two-component TiO2 + AgO coating can improve and shape new functional properties such as bactericidal and photocatalytic properties. The influence of magnetron power changes on the structure of the membrane was investigated as well. The structure and elemental composition of TiO2 + AgO coatings were analyzed using SEM and EDS technique. All deposited coatings caused a total inhibition of the growth of two investigated colonies of Escherichia coli and Bacillus subtilis on the surface. The photocatalytic properties for membranes covered with oxide coatings were tested under UV irradiation and visible light. The filtration result show that polymer membranes covered with two-component TiO2 + AgO coatings have a permeate flux similar to the non-coated membranes. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

14 pages, 4508 KiB  
Article
Defluorination of Polytetrafluoroethylene Surface by Hydrogen Plasma
by Alenka Vesel, Dane Lojen, Rok Zaplotnik, Gregor Primc, Miran Mozetič, Jernej Ekar, Janez Kovač, Marija Gorjanc, Manja Kurečič and Karin Stana-Kleinschek
Polymers 2020, 12(12), 2855; https://0-doi-org.brum.beds.ac.uk/10.3390/polym12122855 - 29 Nov 2020
Cited by 12 | Viewed by 2617
Abstract
Defluorination of polytetrafluoroethylene (PTFE) surface film is a suitable technique for tailoring its surface properties. The influence of discharge parameters on the surface chemistry was investigated systematically using radio-frequency inductively coupled H2 plasma sustained in the E- and H-modes at various powers, [...] Read more.
Defluorination of polytetrafluoroethylene (PTFE) surface film is a suitable technique for tailoring its surface properties. The influence of discharge parameters on the surface chemistry was investigated systematically using radio-frequency inductively coupled H2 plasma sustained in the E- and H-modes at various powers, pressures and treatment times. The surface finish was probed by X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). The measurements of water contact angles (WCA) showed increased wettability of the pristine PTFE; however, they did not reveal remarkable modification in the surface chemistry of the samples treated at various discharge parameters. By contrast, the combination of XPS and ToF-SIMS, however, revealed important differences in the surface chemistry between the E- and H-modes. A well-expressed minimum in the fluorine to carbon ratio F/C as low as 0.2 was observed at the treatment time as short as 1 s when plasma was in the H-mode. More gradual surface chemistry was observed when plasma was in the E-mode, and the minimal achievable F/C ratio was about 0.6. The results were explained by the synergistic effects of hydrogen atoms and vacuum ultraviolet radiation. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

Review

Jump to: Research

28 pages, 7552 KiB  
Review
A Review of Plasma Synthesis Methods for Polymer Films and Nanoparticles under Atmospheric Pressure Conditions
by Hyo Jun Jang, Eun Young Jung, Travis Parsons, Heung-Sik Tae and Choon-Sang Park
Polymers 2021, 13(14), 2267; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13142267 - 10 Jul 2021
Cited by 36 | Viewed by 5672
Abstract
In this paper, we present an overview of recent approaches in the gas/aerosol-through-plasma (GATP) and liquid plasma methods for synthesizing polymer films and nanoparticles (NPs) using an atmospheric-pressure plasma (APP) technique. We hope to aid students and researchers starting out in the polymerization [...] Read more.
In this paper, we present an overview of recent approaches in the gas/aerosol-through-plasma (GATP) and liquid plasma methods for synthesizing polymer films and nanoparticles (NPs) using an atmospheric-pressure plasma (APP) technique. We hope to aid students and researchers starting out in the polymerization field by compiling the most commonly utilized simple plasma synthesis methods, so that they can readily select a method that best suits their needs. Although APP methods are widely employed for polymer synthesis, and there are many related papers for specific applications, reviews that provide comprehensive coverage of the variations of APP methods for polymer synthesis are rarely reported. We introduce and compile over 50 recent papers on various APP polymerization methods that allow us to discuss the existing challenges and future direction of GATP and solution plasma methods under ambient air conditions for large-area and mass nanoparticle production. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Figure 1

26 pages, 6675 KiB  
Review
Microwave Discharges in Liquid Hydrocarbons: Physical and Chemical Characterization
by Yuri A. Lebedev
Polymers 2021, 13(11), 1678; https://0-doi-org.brum.beds.ac.uk/10.3390/polym13111678 - 21 May 2021
Cited by 17 | Viewed by 2321
Abstract
Microwave discharges in dielectric liquids are a relatively new area of plasma physics and plasma application. This review cumulates results on microwave discharges in wide classes of liquid hydrocarbons (alkanes, cyclic and aromatic hydrocarbons). Methods of microwave plasma generation, composition of gas products [...] Read more.
Microwave discharges in dielectric liquids are a relatively new area of plasma physics and plasma application. This review cumulates results on microwave discharges in wide classes of liquid hydrocarbons (alkanes, cyclic and aromatic hydrocarbons). Methods of microwave plasma generation, composition of gas products and characteristics of solid carbonaceous products are described. Physical and chemical characteristics of discharge are analyzed on the basis of plasma diagnostics and 0D, 1D and 2D simulation. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

21 pages, 4053 KiB  
Review
Surface Modification of Polyamides by Gaseous Plasma—Review and Scientific Challenges
by Gregor Primc
Polymers 2020, 12(12), 3020; https://0-doi-org.brum.beds.ac.uk/10.3390/polym12123020 - 17 Dec 2020
Cited by 9 | Viewed by 2290
Abstract
A review of the most significant scientific achievements in the field of surface modification of polyamides by non-equilibrium plasma treatments is presented. Most authors employed atmospheric pressure discharges and reported improved wettability. The super-hydrophilic surface finish was only achieved using a low-pressure plasma [...] Read more.
A review of the most significant scientific achievements in the field of surface modification of polyamides by non-equilibrium plasma treatments is presented. Most authors employed atmospheric pressure discharges and reported improved wettability. The super-hydrophilic surface finish was only achieved using a low-pressure plasma reactor and prolonged treatment time, enabling both the nanostructuring and functionalization with polar functional groups. The average increase of the oxygen concentration as probed by XPS was about 10 at%, while the changes in nitrogen concentrations were marginal in almost all cases. The final static water contact angle decreased with the increasing treatment time, and the oxygen concentration decreased with the increasing discharge power. The need for plasma characterization for the interpretation of experimental results is stressed. Full article
(This article belongs to the Special Issue Advances in Plasma Processes for Polymers)
Show Figures

Graphical abstract

Back to TopTop