Next Article in Journal / Special Issue
Recent Progress in Charged Polymer Chains Grafted by Radiation-Induced Graft Polymerization; Adsorption of Proteins and Immobilization of Inorganic Precipitates
Previous Article in Journal
Residual Stress Distribution in Water Jet Peened Type 304 Stainless Steel
Previous Article in Special Issue
Modification of Lattice Structures and Mechanical Properties of Metallic Materials by Energetic Ion Irradiation and Subsequent Thermal Treatments
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Lamellar Orientation of a Block Copolymer via an Electron-Beam Induced Polarity Switch in a Nitrophenyl Self-Assembled Monolayer or Si Etching Treatments

1
National Institutes for Quantum and Radiological Science and Technology, 1233 Watanuki-machi, Takasaki, Gunma 370-1292, Japan
2
School of Chemical Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, UK
3
The Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047, Japan
*
Author to whom correspondence should be addressed.
Quantum Beam Sci. 2020, 4(2), 19; https://0-doi-org.brum.beds.ac.uk/10.3390/qubs4020019
Submission received: 29 February 2020 / Revised: 20 March 2020 / Accepted: 25 March 2020 / Published: 27 March 2020
(This article belongs to the Special Issue Quantum Beams Applying to Innovative Industrial Materials)

Abstract

:
Directed self-assembly (DSA) was investigated on self-assembled monolayers (SAMs) chemically modified by electron beam (EB) irradiation, which is composed of 6-(4-nitrophenoxy) hexane-1-thiol (NPHT). Irradiating a NPHT by EB could successfully induce the orientation and selective patterning of block copolymer domains. We clarified that spatially-selective lamellar orientations of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) could be achieved by a change of an underlying SAM. The change of an underlying SAM is composed of the transition of an NO2 group to an NH2 group, which is induced by EB. The modification in the polarity of different regions of the SAM with EB lithography controlled the lamellar orientation of PS-b-PMMA. The reduction of the NPHT SAM plays an important role in the orientation of block copolymer. This method might significantly simplify block copolymer DSA processes when it is compared to the conventional DSA process. By investigating the lamellae orientation with EB, it is clarified that only suitable annealing temperatures and irradiation doses lead to the vertical orientation. We also fabricated pre-patterned Si substrates by EB lithographic patterning and reactive ion etching (RIE). DSA onto such pre-patterned Si substrates was proven to be successful for subdivision of the lithographic patterns into line and space patterns.

1. Introduction

With further miniaturization of devices, sub-10 nm feature sizes are anticipated which will not easily be obtained by extreme ultraviolet (EUV) or electron beam (EB) lithography techniques in mass production, due to patterning limitations, tool costs, or low throughput and so on. The fusion of top-down and bottom-up approaches to nano-patterning has attracted significant attention from researchers because conventional top-down lithographic techniques are now approaching such fundamental limitations. Self-assembly of block copolymers enables the fabrication of features of less than 20 nm without using an expensive exposure tool. In particular, the directed self-assembly (DSA) of block copolymers has attracted significant attention as a promising nanofabrication technique to exceed the fundamental limitations of top-down lithography. Block copolymers have the potential to be used in semiconductor manufacturing [1,2,3,4,5,6] and DSA is currently being considered for future nodes of the Interational Technology Roadmap for Semiconductors (ITRS) [7].
Up to now, a lot of effort has been devoted to controlling the self-assembly of block copolymers using the techniques of grapho-epitaxy [2], [8,9,10,11] and chemo-epitaxy [12,13]. Generally, the orientation of the block copolymer can be controlled by precisely tuning the chemistry of the interface between the block copolymer and the substrate. The basic method for achieving perpendicular orientation of the block copolymer is to balance the interfacial interactions of each block of the block copolymer with the substrate. Neutral surfaces have been shown to induce a perpendicular domain orientation in block copolymer thin films [14,15]. This strategy utilizes the inherent versatility of random copolymers, which allows the surface energy or surface characteristics to be tuned due to the change in chemical composition of the random copolymer. A more general approach to controlling interfacial and surface interactions using a crosslinkable random copolymer thin film has been developed [16]. In addition, there are several methods such as solvent annealing [17], the manipulation of rough substrates [18,19], chemical modification of underlying substrates [15,20,21] and so on to control the orientation of domains in block copolymer thin films. In particular, surfaces with neutral wettability to the polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) block copolymers, such as self-assembled monolayer (SAM) modified surfaces or random styrene-methacrylate copolymer films (PS-r-PMMA) have been studied to induce perpendicular orientation for the self-assembly of PS-b-PMMA. Pre-patterned surfaces, which consist of alternating neutral and preferential surfaces, have been accomplished by the selective oxidation of a neutral SAM with resist mask [16,18,19]. However, current methods for achieving the pattering of underlying substrates are generally composed of a large number of steps. In order to simplify and improve DSA processes, several strategies have been reported to control areas of block copolymer domain orientation using radiation. Approaches including a photodefinable substrate film [22], EB sensitive materials [23], x-ray sensitive self-assembled monolayers [15], the selective cross-linking of the surface of underlying substrates via UV light [24,25], and reactive ion etching [26,27,28] have all been reported. In addition, disordered block copolymers that order in response to light [29,30,31,32] and electrohydrodynamic jet printing [33] have been reported. However, a number of challenges remain with these approaches.
It is very valuable to control the surface chemistry of specific regions in order to locally change the orientation of block copolymer domains by lithography techniques. In particular, it is suitable for the modification of the substrate surface to use EB lithography and has the advantage of making it easily possible to fabricate less than 20 nm feature size patterns. In addition to high resolution, EB lithography makes it possible to fabricate a lot of patterns by adjusting the irradiation dose and beam position. One strategy to induce lamella to form perpendicular to the substrate with controlled orientation in the plane of the film is the nanopatterning of substrates with alternating regions that are wetted differently by the different blocks of copolymer. In particular, tuning the polarity of the SAM-covered surface can control the wetting behavior of block copolymer films. It has been reported that such a surface pattern could be transferred to poly(styrene-b-2-vinylpyridine) (P(S-b-2VP)) films by using strip patterns of SAMs of CH3– and HO– terminated alkanethiols on gold substrate [34,35,36]. Furthermore, it has been reported that the wetting behavior of a polymer was controlled by the functionality, or surface chemistry of the SAMs such as strip patterns of CH3– and COOH–terminated alkanethiols on gold [37,38], and the stripe patterns of gold and SAMs of CH3–terminated alkanethiols on gold [39]. Moreover, the surface chemistry of the SAMs was modified upon exposure to x-rays in air to incorporate oxygen into polar function groups on the surface of the SAMs [40]. However, none of these SAMs have been directly patterned by EB reduction of the SAM to fabricate alternating regions that are wetted by the different blocks of copolymer. In fact, a patterned resist has been utilized as a mask during the etching process to create a chemical pattern on the buffer layer [26,27]. In addition to orientation control, photopatternable interfaces have been used to define trenches of DSA via grapho-epitaxy using photolithography [2] and to achieve DSA for chemo-epitaxy with lithography in tandem with other processes [13,41,42,43,44,45].
In this study, we demonstrate that lamella orientation of PS-b-PMMA films can be achieved using EB induced conversion of SAMs, such as the transition of a NO2 group to an NH2 group upon electron irradiation. Also, we fabricated pre-patterned Si substrates by EB lithographic patterning and reactive ion etching. DSA onto such pre-patterned Si substrates modified with PS-r-PMMA results in subdivision of the lithographic patterns into line and space patterns. Furthermore, we present experiments investigating the difference in the direction of a block copolymer thin film in contact with a chemically patterned underlying substrates and pre-patterned Si substrates.

2. Materials and Methods

Gold was deposited onto silicon substrates in a sputter coater (Edwards 306 auto, Edwards, West Sussex, UK), using an argon pressure of 1 Pa, and sputtering power 100 W, to a thickness of 100 nm, (measured on a sacrificial sample using a surface profiler (Dektak 3st, Veeco, New York, N.Y., USA). The gold coated samples were then cleaned in piranha solution (mixture solution of 30% hydrogen peroxide and concentrated sulfuric acid) (Warning: Piranha solution is very reactive and corrosive) for 5 min at room temperature. 6-(4-nitrophenoxy) hexane-1-thiol (NPHT) was prepared as detailed elsewhere [46]. To deposit the SAMs, a 10 mmol solution of NPHT was created in ethanol solvent, and the gold samples submerged in the solution for 48 h. Deposition was terminated using a 15 s rinse in the solvent and the samples were then dried with nitrogen. The rinse and dry was repeated twice to ensure maximum removal of physisorbed multilayers.
Samples were then irradiated with EB to produce a patterned SAM layer. They were patterned at exposure doses of 10 mC/cm2 and 50 mC/cm2. Lamella forming PS-b-PMMA (PS: 53 kg/mol, PMMA: 54 kg/mol) was purchased from Polymer Source, Inc. and used as received. Propylene glycol mono methyl ether acetate (PGMEA) was used as casting solvent. PS-b-PMMA block copolymer thin films were formed onto the patterned SAM layer coated substrates after EB irradiation. Subsequently, they were annealed at 150 °C, 190 °C, and 250 °C in a vacuum for a sufficiently long time. Then the films were also treated with an oxygen reactive ion etching (RIE) process to selectively remove the PMMA domains. After selective removal of PMMA, the remaining PS patterns on the substrate can be obtained. A tungsten was sputter-coated on the surface to prevent charging. The resulting morphology was recorded using a field emission-scanning electron microscope (FE-SEM S-5500, Hitachi-hitec, Japan) operated with an acceleration voltage of 1 kV.
Deep topographic features were also fabricated in silicon (Si) using EB lithography and plasma etching techniques. The surface of the lithographically defined templates was coated with PS-r-PMMA by spin-coating from a suitable solvent, and the samples were baked at 110 °C for 90 s. After baking, PS-b-PMMA block copolymer thin films were formed from PGMEA solutions onto the samples, which were then annealed at 190 °C for 24 h. After the self-assembly of block copolymer, the sample was subjected to an O2 plasma to remove the PMMA domains. The resulting patterns were recorded using FE-SEM after tungsten coating.

3. Results and Discussion

Figure 1a shows a schematic of the typical lithographic patterning method used to chemically pattern a SAM surface, in order to subsequently induce directed self-assembly via chemo-epitaxy. The method typically proceeds by the patterning of SAMs or grafted polymer monolayers using lithographically defined resist patterns as a mask. However, these approaches require a number of complex processes such as resist coating, exposure, development, exposure in the presence of O2, the difficulty of surface neutrality, and so on. Compared to the complex processes used for traditional chemo-epitaxy, a directly chemically patternable SAM would greatly simplify the process as shown in Figure 1b. Thus, the number of steps can be reduced in the DSA process. In addition, this method reduces the probability of defectivity, for instance caused by residual resist on the patterned SAM.
EB patterning was chosen for controlling block copolymer features because EB lithography tools can pattern areas nearly as small as the domain of block copolymer. By exposing a responsive interfacial surface of SAMs to EB, selective orientation of block copolymer domain can be achieved. In other words, after EB irradiation the SAMs underwent selective reduction. It has previously been reported that EB lithography and the irradiation of x-ray can induce the transition of the SAM terminal function aromatic nitro (NO2) moieties to aromatic amino (NH2) moieties [46,47,48,49,50,51,52,53]. Thus, EB irradiation results in a chemically striped patterned substrate consisting of alternating stripes of SAM with an aromatic NO2 terminal functionality and SAM with aromatic NH2 terminal functionality, as shown Figure 2. Chemical analysis of substrate pre- and post-EB modification was not performed as the EB exposure tool’s time and analytical tools were limited. However, X-ray photoelectron spectroscopy (XPS) data of the SAM substrates by pre- and post- x-ray modification [52] and XPS data of different SAM substrates by post- EB modification [49] are available.
Patterning of SAMs was achieved by EB lithography for all features with various periods. SAMs were patterned at doses of 50 mC/cm2. The exposed electron dose in this study is similar to the dose of 35,000 µC/cm2 required to carry out the reduction of NO2 on biphenyl based NO2 terminated SAM on gold [51].
After EB pattering of SAM, PS-b-PMMA block copolymer thin films were formed onto the patterned SAM layer coated substrates. Next, they were annealed in a vacuum at 190 °C and was treated with an oxygen RIE to selectively remove the PMMA domains on the substrate. Figure 3 shows SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au-coated silicon substrates pre-coated with SAM. Lamella orientation of PS-b-PMMA block copolymer was observed irradiated by EB after 24 h of annealing at 190 °C. The patterned underlying substrates provide neutral surface layers for lamella orientation of PS-b-PMMA domains. However, perfect DSA was not achieved. It is known that the PMMA block preferentially wets on SAMs that contain polar groups and PMMA block preferentially wets on NO2 region before EB irradiation. On the other hand, the NO2 groups of the NPHT was reduced when EB was irradiated and were converted to NH2 groups. Therefore, the surface energy of the underlying stripe pattern, which was composed of NO2 and NH2, was changed and became neutral layers for PS-b-PMMA. We observed the lamella orientation of PS-b-PMMA, whose dimension is approximately 30 nm. This dimension corresponds to lamella natural period of approximately 30 nm. We have also shown the EB irradiation control of surface energy of the patterned substrate. We could not observe the lamella orientation of PS-b-PMMA block copolymer at the dose of 10 mC/cm2. The reason why perfect DSA could not be observed is thought to be for the following two reasons. First was the control of stripe width. It has been reported that the number of defects increased as the density multiplication factor, and the proportion of the chemical pattern period to the block copolymer period, increased [28]. Also, it is known that if the periods of the surface and block copolymer do not agree within approximately 10%, DSA of the block copolymer films will not be perfect [13]. The second reason is that it is difficult to modify with thiol monolayers on Au surfaces because our annealing temperature is 190 °C, which is much greater than the dissociation temperature of the Au-thiol bond. If we can reliably fabricate a chemically striped patterned substrate consisting of alternating stripes of SAM with an aromatic NO2 terminal functionality and with aromatic NH2 terminal functionality, which is a thermally stable SAM at a temperature of more than 190 °C, we may possibly accomplish perfect DSA.
We investigated the annealing conditions in which the lammella orientation of PS-b-PMMA block copolymer was observed. Figure 4 shows SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au deposited silicon substrates pre-coated with SAM at the annealing temperature of (a) 150 °C and 250 °C, respectively. In both cases, we could not observe the lamella orientation of PS-b-PMMA block copolymer. This was because, while the self-assembly of PS-b-PMMA did not occur at the annealing temperature of 150 °C, the dissociation temperature of the Au-thiol bond would occur at the annealing temperature of 250 °C. These results indicated the vertical orientation conditions (perpendicular to the surface) required the appropriate annealing temperature. To our knowledge, this is the first case of a neutral layer taking advantage of the reduction of SAM by EB irradiation, and this study provides insights on tuning the neutral layer and the vertical orientation conditions for suitable annealing temperature and irradiation doses. The main barrier for this process is to control the alignment of the domains to achieve perfect DSA. It is crucial to understand the process variables that control this alignment. Further work is in progress to fully characterize and elucidate all the mechanisms at play during the fabrication procedure and understand in detail the key NO2 to NH2 transition threshold, which are required to control the alignment of the domains in order to give DSA.
Additionally, we created pre-patterned Si substrates by EB lithographic patterning and reactive ion etching. Our research has focused on self-aligned self-assembly of PS-b-PMMA block copolymer thin film patterns of line and space patterns and compared this with our simple DSA process. Figure 5 shows SEM micrographs of PMMA etched PS-b-PMMA block copolymer patterns on silicon substrates pre-coated with PS-b-PMMA. Line widths on these topographically patterned substrates were (a) 300 nm, (b) 100 nm, and (c) 300 nm, respectively. We successfully fabricated the DSA of PS-b-PMMA block copolymer onto pre-patterned surfaces resulting in subdivision of the lithographic patterns into line and space patterns. The spaces shown in the SEM images in Figure 5 correspond to perpendicular PMMA domain orientation within various trenches, and clearly demonstrates that perpendicular orientation of PS-b-PMMA was achieved. It can be observed that pre-patterned Si substrates induced excellent microphase segregation within the trenches regardless of the groove width with lamella domains orientating perpendicular to the substrate surface. However, these patterns lacked long-range alignment. Although the SEM micrograph is not shown here, sub-30 nm feature sizes and other patterns of PS-b-PMMA could be observed.
We have demonstrated a new method for the lamellar assembly of block copolymers by chemically patterned underlying substrates. We confirmed a process that uses directly EB patternable interfaces using the chemical reduction of SAMs to decrease the current DSA process and avoid additional processes. In this work, the direct method has been clarified to be effective in inducing microphase separation such as lamella in block copolymer thin film on striped patterns of SAMs, but further work is in progress to optimize the process. Experiments exploiting novel SAM materials and block copolymers are ongoing in order to accomplish directed self-assembly by this method. Generally, the silane or silicon interface is stronger than the thiol or gold interface. Moreover, patterning on SiO2 wafers is more compatible with top-down lithography. Additionally, the irradiation dose required for the patterning on SiO2 is much lower than those required for a SAM on Au. It has been reported that the difference in EB lithography behavior between SAMs on Au and Si is related to the differing film thicknesses and electron scattering characteristics of the two underlying surfaces [54,55]. Therefore, the reduction of SAMs on SiO2 substrate by EB and following DSA are in progress and they will be the subject of future reports.

4. Conclusions

We conclude that lamellar orientation of PS-b-PMMA block copolymer could be achieved using the transition of the NO2 group to an NH2 group induced by EB irradiation. The reduction of SAM substrates plays a crucial role in the ordering. By investing the lamellae orientation by EB, we found that the vertical state leads only to a suitable annealing temperature and irradiation dose. Future applications may be required to develop a new synthesis of sensitive and chemically specific underlying substrates, which change functionality directly upon EB irradiation. Our method has the potential to significantly simplify their processes as compared to currently used DSA process.

Author Contributions

Conceptualization, H.Y. and A.P.G.R.; methodology, H.Y.; formal analysis, H.Y.; investigation, H.Y.; sample preparation, H.Y. and G.D.; writing—original draft preparation, H.Y.; writing—review and editing, H.Y. and A.P.G.R.; project administration, H.Y.; funding acquisition, H.Y. discussion, T.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by a Grant-in-Aid for Scientific Research (Project No. 16K14439) from the Ministry of Education, Culture, Sports, Science and Technology of Japan (MEXT).

Acknowledgments

This work was partly performed under the Cooperative Research Program of “Network Joint Research Center for Materials and Devices. Also, this work was partly performed under the Research Program for CORE lab of “Five-star Alliance” in “NJRC Mater. & Dev.” A part of this work was supported by “Nanotechnorogy Platform Project (Nanotechnology Open Facilities in Osaka University)” of Ministry of Education, Culture, Sports, Science and Technology, Japan.

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Kim, S.O.; Solak, H.H.; Stoykovich, M.P.; Ferrier, N.J.; de Pablo, J.J.; Nealey, P.F. Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structure. Science 2005, 308, 1442. [Google Scholar]
  2. Segalman, R.A.; Yokoyama, H.; Kramer, E.J. Graphoepitaxy of Spherical Domain Block Copolymer Films. Adv. Mater. 2001, 13, 1152. [Google Scholar] [CrossRef]
  3. Shin, K.; Leach, K.A.; Goldbach, J.T.; Kim, D.H.; Jho, J.Y.; Tuominen, M.; Hawker, C.J.; Russel, T.P. A Simple Route to Metal Nanodots and Nanoporous Metal Films. Nano Lett. 2002, 2, 933. [Google Scholar] [CrossRef]
  4. Lopes, W.A.; Jaeger, H.M. Hierarchical self-assembly of metal nanostructures on diblock copolymer scaffolds. Nature 2001, 414, 735. [Google Scholar] [CrossRef] [PubMed]
  5. Park, M.; Harrison, C.; Chaikin, P.M.; Register, R.A.; Adamson, D.H. Block Copolymer Lithography: Periodic Arrays of ~1011 Holes in 1 Square Centimeter. Science 1997, 276, 1401. [Google Scholar] [CrossRef]
  6. Templin, M.; Franck, A.; Chesne, A.D.; Leist, H.; Zhang, Y.; Ulrich, R.; Schadler, V.; Wiesner, U. Organically Modified Aluminosilicate Mesostructures from Block Copolymer Phases. Science 1997, 278, 1795. [Google Scholar] [CrossRef]
  7. International Technology Roadmap for Semiconductors. Available online: http://www.itrs2.net/ (accessed on 31 December 2016).
  8. Kim, S.H.; Misner, M.J.; Xu, T.; Kimura, M.; Russell, T.P. Highly Oriented and Ordered Arrays from Block Copolymers via Solvent Evaporation. Adv. Mater. 2004, 16, 226–231. [Google Scholar] [CrossRef]
  9. Cheng, J.Y.; Ross, C.A.; Smith, H.I.; Thomas, E.L. Templated Self-Assembly of Block Copolymers: Top-Down Helps Bottom-Up. Adv. Mater. 2006, 18, 2505–2521. [Google Scholar] [CrossRef]
  10. Black, C.T. Self-aligned self assembly of multi-nanowire silicon field effect transistors. Appl. Phys. Lett. 2005, 87, 163116. [Google Scholar] [CrossRef]
  11. Sundrani, D.; Darling, S.B.; Sibener, S.J. Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement. Langmuir 2004, 20, 5091–5099. [Google Scholar] [CrossRef]
  12. Rockford, L.; Liu, Y.; Mansky, P.; Russell, T.P.; Yoon, M.; Mochrie, S.G.J. Polymers on Nanoperiodic, Heterogeneous Surfaces. Phys. Rev. Lett. 1999, 82, 2602–2605. [Google Scholar] [CrossRef] [Green Version]
  13. Kim, S.O.; Solak, H.H.; Stoykovich, M.P.; Ferrier, N.J.; de Pablo, J.J.; Nealey, P.F. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature 2003, 424, 411–414. [Google Scholar] [CrossRef] [PubMed]
  14. Mansky, P.; Russel, T.P.; Hawker, C.J.; Mays, J.; Cook, D.C.; Satija, S.K. Interfacial Segregation in Disordered Block Copolymers: Effect of Tunable Surface Potentials. Phys. Rev. Lett. 1997, 79, 237. [Google Scholar] [CrossRef]
  15. Peters, R.D.; Yang, X.M.; Kim, T.K.; Sohn, B.H.; Nealey, P.F. Using Self-Assembled Monolayers Exposed to X-rays To Control the Wetting Behavior of Thin Films of Diblock Copolymers. Langmuir 2000, 16, 4625. [Google Scholar] [CrossRef]
  16. Ryu, D.Y.; Shin, K.; Hawker, E.D.C.J.; Russell, T.P. A generalized approach to the modification of solid surfaces. Science 2005, 308, 236. [Google Scholar] [CrossRef]
  17. Kim, S.H.; Misner, M.J.; Russell, T.P. Solvent-Induced Ordering in Thin Film Diblock Copolymer/Homopolymer Mixtures. Adv. Mater. 2004, 16, 2119–2123. [Google Scholar] [CrossRef]
  18. Sivaniah, E.; Hayashi, Y.; Iino, M.; Hashimoto, T.; Fukunaga, K. Observation of Perpendicular Orientation in Symmetric Diblock Copolymer Thin Films on Rough Substrates. Macromolecules 2003, 36, 5894–5896. [Google Scholar] [CrossRef]
  19. Yager, K.G.; Berry, B.C.; Page, K.; Patton, D.; Karim, A.; Amis, E.J. Disordered nanoparticle interfaces for directed self-assembly. Soft Matter 2009, 5, 622–628. [Google Scholar] [CrossRef]
  20. Mansky, P.; Liu, Y.; Huang, E.; Russell, T.P.; Hawker, C. Controlling Polymer-Surface Interactions with Random Copolymer Brushes. Science 1997, 275, 1458–1460. [Google Scholar] [CrossRef]
  21. Suh, H.S.; Kang, H.; Liu, C.-C.; Nealey, P.F.; Char, K. Orientation of Block Copolymer Resists on Interlayer Dielectrics with Tunable Surface Energy. Macromolecules 2010, 43, 461–466. [Google Scholar] [CrossRef]
  22. Cheng, J.; Lawson, R.A.; Yeh, W.-M.; Tolbert, L.M.; Henderson, C.L. Photodefinable Substrate Guiding Layers for Block Copolymer Directed Self-Assembly (DSA) Patterning. Proc. SPIE 2011, 7972, 79722I. [Google Scholar]
  23. Han, E.; Leolukman, M.; Kim, M.; Gopalan, P. Resist Free Patterning of Nonpreferential Buffer Layers for Block Copolymer Lithography. ACS Nano 2010, 4, 6527–6534. [Google Scholar] [CrossRef] [PubMed]
  24. Bang, J.; Lӧwenhielm, J.B.P.; Spiessberger, C.; Given-Beck, S.A.; Russell, T.P.; Hawker, C.J. Facile Routes to Patterned Surface Neutralization Layers for Block Copolymer Lithography. Adv. Mater. 2007, 19, 4552. [Google Scholar] [CrossRef]
  25. Han, E.; In, I.; Park, S.-M.; La, Y.-H.; Wang, Y.; Nealey, P.F.; Gopalan, P. Photopatternable Imaging Layers for Controlling Block Copolymer Microdomain Orientation. Adv. Mater. 2007, 19, 4448–4452. [Google Scholar] [CrossRef]
  26. Park, S.H.; Shin, D.O.; Kim, B.H.; Yoon, D.K.; Kim, K.; Lee, S.Y.; Oh, S.-H.; Choi, S.-W.; Jeon, S.C.; Kim, S.O. Block copolymer multiple patterning integrated with conventional ArF lithography. Soft Matter 2010, 6, 120–125. [Google Scholar] [CrossRef]
  27. Shin, D.O.; Kim, B.H.; Kang, J.-H.; Jeong, S.-J.; Park, S.H.; Lee, Y.-H.; Kim, S.O. One-Dimensional Nanoassembly of Block Copolymers Tailored by Chemically Patterned Surfaces. Macromolecules 2009, 42, 1189–1193. [Google Scholar] [CrossRef]
  28. Liu, G.; Thomas, C.S.; Craig, G.S.W.; Nealey, P.F. Integration of Density Multiplication in the Formation of Device-Oriented Structures by Directed Assembly of Block Copolymer–Homopolymer Blends. Adv. Funct. Mater. 2010, 20, 1251–1257. [Google Scholar] [CrossRef]
  29. Daga, V.K.; Schwarz, E.L.; Chandler, C.M.; Lee, J.-K.; Lin, Y.; Ober, C.K.; Watkins, J.J. Photoinduced Ordering of Block Copolymers. Nano Lett. 2011, 11, 1153–1160. [Google Scholar] [CrossRef]
  30. Yao, L.; Watkins, J.J. Photoinduced Disorder in Strongly Segregated Block Copolymer Composite Films for Hierarchical Pattern Formation. ACS Nano 2013, 7, 1513–1523. [Google Scholar] [CrossRef]
  31. Morikawa, Y.; Kondo, T.; Nagano, S.; Seki, T. Photoinduced 3D Ordering and Patterning of Microphase-Separated Nanostructure in Polystyrene-Based Block Copolymer. Chem. Mater. 2007, 19, 1540–1542. [Google Scholar] [CrossRef]
  32. Chen, W.; Wang, J.-Y.; Zhao, W.; Li, L.; Wei, X.; Balazs, A.C.; Matyjaszewski, K.; Russel, T.P. Photocontrol over the Disorder-to-Order Transition in Thin Films of Polystyrene-block-poly(methyl methacrylate) Block Copolymers Containing Photodimerizable Anthracene Functionality. J. Am. Chem. Soc. 2011, 133, 17217–17224. [Google Scholar] [CrossRef] [PubMed]
  33. Onses, M.S.; Song, C.; Williamson, L.; Suntanto, E.; Ferreira, P.M.; Alleyne, A.G.; Nealey, P.F.; Ahn, H.; Rogers, J.A. Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly. Nat. Nanotechnol. 2013, 8, 667–675. [Google Scholar] [CrossRef] [PubMed]
  34. Heier, J.; Kramer, E.J.; Whalheim, S.; Krausch, G. Thin Diblock Copolymer Films on Chemically Heterogeneous Surfaces. Macromolecules 1997, 30, 6610. [Google Scholar] [CrossRef]
  35. Heier, J.; Genzer, J.; Kramer, E.J.; Bates, F.S.; Whalheim, S.; Krausch, G. Transfer of a chemical substrate pattern into an island-forming diblock copolymer film. J. Chem. Phys. 1999, 111, 11101. [Google Scholar] [CrossRef]
  36. Heier, J.; Kramer, E.J.; Groenewold, J.; Fredrickson, G.H. Kinetics of Individual Block Copolymer Island Formation and Disappearance near an Absorbing Boundary. Macromolecules 2000, 33, 6060. [Google Scholar] [CrossRef]
  37. Nisato, G.; Erimi, B.; Douglas, J.F.; Karim, A. Excitation of Surface Deformation Modes of a Phase-Separating Polymer Blend on a Patterned Substrate. Macromolecules 1999, 32, 2356. [Google Scholar] [CrossRef]
  38. Karim, A.; Douglas, J.F.; Lee, B.P.; Rogers, S.C.G.J.A.; Jackman, R.J.; Amis, E.J.; Whitesides, G.M. Phase separation of ultrathin polymer-blend films on patterned substrates. Phys. Rev. E 1998, 57, R6273. [Google Scholar] [CrossRef] [Green Version]
  39. Boltau, M.; Walheim, S.; Mlynek, J.; Krausch, G.; Steiner, U. Steiner, Surface-induced structure formation of polymer blends on patterned substrates. Nature 1998, 391, 877. [Google Scholar] [CrossRef]
  40. Kim, T.K.; Yang, X.M.; Peters, R.D.; Sohn, B.H.; Nealey, P.F. Chemical Modification of Self-Assembled Monolayers by Exposure to Soft X-rays in Air. J. Phys. Chem. B 2000, 104, 7403. [Google Scholar] [CrossRef]
  41. Ruiz, R.; Kang, H.; Detcheverry, F.A.; Dobisz, E.; Kercher, S.S.; Albrecht, T.R.; de Pablo, J.J.; Nealey, P.F. Density multiplication and improved lithography by directed block copolymer assembly. Science 2008, 321, 936–939. [Google Scholar] [CrossRef]
  42. Cheng, J.Y.; Rettner, C.T.; Sanders, D.P.; Kim, H.-C.; Hinsberg, W.D. Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155–3158. [Google Scholar] [CrossRef]
  43. Cheng, J.Y.; Truong, D.P.S.H.D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M. Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a Polarity-Switched Photoresist. ACS Nano 2010, 4, 4815–4823. [Google Scholar] [CrossRef] [PubMed]
  44. Maher, M.J.; Rettner, C.T.; Bates, C.M.; Blachut, G.; Carlson, M.C.; Durand, W.J.; Ellison, C.J.; Sanders, D.P.; Cheng, J.Y.; Willson, C.G. Directed Self-Assembly of Silicon-Containing Block Copolymer Thin Films. ACS Appl. Mater. Interfaces 2015, 7, 3323–3328. [Google Scholar] [CrossRef] [PubMed]
  45. Cushen, J.; Wan, L.; Blachut, G.; Maher, M.J.; Albrecht, T.R.; Ellison, C.J.; Willson, C.G.; Ruiz, R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476–13483. [Google Scholar] [CrossRef]
  46. Leigh, S.J.; Prieto, J.L.; Bowen, J.; Lewis, S.; Robinson, A.P.G.; Iqbal, P.; Preece, J.A. Controlling gold nanoparticle assembly on electron beam-reduced nitrophenyl self-assembled monolayers via electron dose. Colloids Surf. A 2013, 433, 181–190. [Google Scholar] [CrossRef]
  47. Mendes, P.M.; Preece, J.A. Precision chemical engineering: Integrating nanolithography and nanoassembly. Curr. Opin. Colloids Surf. Sci. 2004, 9, 236–248. [Google Scholar] [CrossRef]
  48. Gӧlzhӓuser, A.; Eck, W.; Geyer, W.; Stadler, V.; Weimann, T.; Hinze, P.; Grunze, M. Chemical Nanolithography with Electron Beams. Adv. Mater. 2001, 13, 806–809. [Google Scholar]
  49. Mendes, P.M.; Jacke, S.; Critchley, K.; Plaza, J.; Chen, Y.; Nikitin, K.; Palmer, R.E.; Preece, J.A.; Evans, S.D.; Fitzmaurice, D. Gold Nanoparticle Patterning of Silicon Wafers Using Chemical e-Beam Lithography. Langmuir 2004, 20, 3766–3768. [Google Scholar] [CrossRef]
  50. Mendes, P.M.; Belloni, M.; Ashworth, M.; Hardy, C.; Nikitin, K.; Fitzmaurice, D.; Critchley, K.; Evans, S.D.; Preece, J.A. A Novel Example of X-Ray-Radiation-Induced Chemical Reduction of an Aromatic Nitro-Group-Containing Thin Film on SiO2 to an Aromatic Amine Film. Chem. Phys. Chem. 2003, 4, 884–889. [Google Scholar] [CrossRef]
  51. Eck, W.; Stadler, V.; Geyer, W.; Zharnikov, M.; Gӧlzhӓuser, A.; Grunze, M. Generation of Surface Amino Groups on Aromatic Self-Assembled Monolayers by Low Energy Electron Beams—A First Step Towards Chemical Lithography. Adv. Mater. 2000, 12, 805–808. [Google Scholar] [CrossRef]
  52. Iqbal, P.; Critchley, K.; Attwood, D.; Tunnicliffe, D.; Evans, S.D.; Preece, J.A. Chemical Manipulation by X-rays of Functionalized Thiolate Self-Assembled Monolayers on Au. Langmuir 2008, 24, 13969. [Google Scholar] [CrossRef] [PubMed]
  53. Geyer, E.; Stadler, V.; Eck, W.; Zharnikov, M.; Gӧlzhӓuser, A.; Grunze, M. Electron-induced crosslinking of aromatic self-assembled monolayers: Negative resists for nanolithography. Appl. Phys. Lett. 1999, 75, 2401–2403. [Google Scholar] [CrossRef]
  54. Hyun, J.; Kim, J.; Craig, S.L.; Chilkoti, A. Chilkoti, Enzymatic Nanolithography of a Self-Assembled Oligonucleotide Monolayer on Gold. JACS 2004, 126, 4770–4771. [Google Scholar] [CrossRef] [PubMed]
  55. Aizaki, N. Proximity effect dependence on substrate material. J. Vac. Sci. Technol. 1979, 16, 1726–1733. [Google Scholar] [CrossRef]
Figure 1. (a) Schematic presentation of block copolymer lamella orientation process using a conventional chemically patterned surface. (i) self-assembled monolayer (SAM) coating on Si. (ii) resist coating. (iii) Resist was exposed and developed. (iv) SAM was patterned in the presence of O2 though resist mask (v) Resist was removed and surface neutrality. (vi) Block copolymer was spin-coated. (vii) Block copolymer was self-assembled to match underlying SAM patterns. (b) Schematic presentation of block copolymer lamella orientation process using a directly chemically patternable SAMs method. (i) SAM coating on Si. (ii) SAM was directly patterned by EB. (iii) Block copolymer was spin-coated. (iv) Lamella orientation of block copolymer was self-assembled in underlying SAM patterns.
Figure 1. (a) Schematic presentation of block copolymer lamella orientation process using a conventional chemically patterned surface. (i) self-assembled monolayer (SAM) coating on Si. (ii) resist coating. (iii) Resist was exposed and developed. (iv) SAM was patterned in the presence of O2 though resist mask (v) Resist was removed and surface neutrality. (vi) Block copolymer was spin-coated. (vii) Block copolymer was self-assembled to match underlying SAM patterns. (b) Schematic presentation of block copolymer lamella orientation process using a directly chemically patternable SAMs method. (i) SAM coating on Si. (ii) SAM was directly patterned by EB. (iii) Block copolymer was spin-coated. (iv) Lamella orientation of block copolymer was self-assembled in underlying SAM patterns.
Qubs 04 00019 g001
Figure 2. A scheme of the experimental steps. (Step I) SAM formation, (Step II) Patterning of SAM with EB lithography, and (Step III) EB patterning of a SAM, which induces transition of the SAM terminal functional aromatic NO2 moieties to aromatic NH2 moieties.
Figure 2. A scheme of the experimental steps. (Step I) SAM formation, (Step II) Patterning of SAM with EB lithography, and (Step III) EB patterning of a SAM, which induces transition of the SAM terminal functional aromatic NO2 moieties to aromatic NH2 moieties.
Qubs 04 00019 g002
Figure 3. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au deposited silicon substrates pre-coated with self-assembly monolayer (SAM). The widths of alternating stripes of SAMs were (a) 150 nm, (b) 150 nm and (c) 300 nm, respectively.
Figure 3. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au deposited silicon substrates pre-coated with self-assembly monolayer (SAM). The widths of alternating stripes of SAMs were (a) 150 nm, (b) 150 nm and (c) 300 nm, respectively.
Qubs 04 00019 g003
Figure 4. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au deposited silicon substrates pre-coated with SAM at the annealing temperature of (a) 150 °C and (b) 250 °C, respectively.
Figure 4. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on Au deposited silicon substrates pre-coated with SAM at the annealing temperature of (a) 150 °C and (b) 250 °C, respectively.
Qubs 04 00019 g004
Figure 5. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on silicon substrates pre-coated with PS-b-PMMA. Line widths on these topographically patterned substrates were (a) 300 nm, (b) 100 nm, and (c) 300 nm, respectively.
Figure 5. SEM micrographs of PMMA etched lamellar PS-b-PMMA block copolymer on silicon substrates pre-coated with PS-b-PMMA. Line widths on these topographically patterned substrates were (a) 300 nm, (b) 100 nm, and (c) 300 nm, respectively.
Qubs 04 00019 g005

Share and Cite

MDPI and ACS Style

Yamamoto, H.; Dawson, G.; Kozawa, T.; Robinson, A.P.G. Lamellar Orientation of a Block Copolymer via an Electron-Beam Induced Polarity Switch in a Nitrophenyl Self-Assembled Monolayer or Si Etching Treatments. Quantum Beam Sci. 2020, 4, 19. https://0-doi-org.brum.beds.ac.uk/10.3390/qubs4020019

AMA Style

Yamamoto H, Dawson G, Kozawa T, Robinson APG. Lamellar Orientation of a Block Copolymer via an Electron-Beam Induced Polarity Switch in a Nitrophenyl Self-Assembled Monolayer or Si Etching Treatments. Quantum Beam Science. 2020; 4(2):19. https://0-doi-org.brum.beds.ac.uk/10.3390/qubs4020019

Chicago/Turabian Style

Yamamoto, Hiroki, Guy Dawson, Takahiro Kozawa, and Alex P. G. Robinson. 2020. "Lamellar Orientation of a Block Copolymer via an Electron-Beam Induced Polarity Switch in a Nitrophenyl Self-Assembled Monolayer or Si Etching Treatments" Quantum Beam Science 4, no. 2: 19. https://0-doi-org.brum.beds.ac.uk/10.3390/qubs4020019

Article Metrics

Back to TopTop