Next Article in Journal
High Strength Die-Attach Joint Formation by Pressureless Sintering of Organic Amine Modified Ag Nanoparticle Paste
Next Article in Special Issue
Threshold Voltage Adjustment by Varying Ge Content in SiGe p-Channel for Single Metal Shared Gate Complementary FET (CFET)
Previous Article in Journal
Octahedral Molybdenum Cluster-Based Nanomaterials for Potential Photodynamic Therapy
Previous Article in Special Issue
Effect of Silicate Additive on Structural and Electrical Properties of Germanium Nanowires Formed by Electrochemical Reduction from Aqueous Solutions
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Sensitivity of Inner Spacer Thickness Variations for Sub-3-nm Node Silicon Nanosheet Field-Effect Transistors

Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang 37673, Gyeongbuk, Korea
*
Author to whom correspondence should be addressed.
Nanomaterials 2022, 12(19), 3349; https://0-doi-org.brum.beds.ac.uk/10.3390/nano12193349
Submission received: 31 August 2022 / Revised: 19 September 2022 / Accepted: 21 September 2022 / Published: 26 September 2022
(This article belongs to the Special Issue Nanomaterials for Electron Devices)

Abstract

:
The inner spacer thickness (TIS) variations in sub-3-nm, node 3-stacked, nanosheet field-effect transistors (NSFETs) were investigated using computer-aided design simulation technology. Inner spacer formation requires a high selectivity of SiGe to Si, which causes inevitable TIS variation (ΔTIS). The gate length (LG) depends on the TIS. Thus, the DC/AC performance is significantly affected by ΔTIS. Because the effects of ΔTIS on the performance depend on which inner spacer is varied, the sensitivities of the performance to the top, middle, and bottom (T, M, and B, respectively) ΔTIS should be studied separately. In addition, the source/drain (S/D) recess process variation that forms the parasitic bottom transistor (trpbt) should be considered with ΔTIS because the gate controllability over trpbt is significantly dependent on ΔTIS,B. If the S/D recess depth (TSD) variation cannot be completely eliminated, reducing ΔTIS,B is crucial for suppressing the effects of trpbt. It is noteworthy that reducing ΔTIS,B is the most important factor when the TSD variation occurs, whereas reducing ΔTIS,T and ΔTIS,M is crucial in the absence of TSD variation to minimize the DC performance variation. As the TIS increases, the gate capacitance (Cgg) decreases owing to the reduction in both parasitic and intrinsic capacitance, but the sensitivity of Cgg to each ΔTIS is almost the same. Therefore, the difference in performance sensitivity related to AC response is also strongly affected by the DC characteristics. In particular, since TSD of 5 nm increases the off-state current (Ioff) sensitivity to ΔTIS,B by a factor of 22.5 in NFETs, the ΔTIS,B below 1 nm is essential for further scaling and yield enhancement.

1. Introduction

Silicon fin-shaped field-effect transistors (FinFETs) have been continuously scaled down from 22-nm to 5-nm nodes using fins with high aspect ratios and design technology co-optimization [1,2,3,4,5,6]. However, increasing the fin aspect ratio is challenging owing to the process complexity, and FinFETs with narrow fins exhibit threshold-voltage variations and performance degradation induced by the quantum confinement effect [7,8,9,10]. By contrast, Silicon gate-all-around nanosheet field-effect transistors (NSFETs) have received considerable attention as promising devices that can replace FinFETs in sub-3-nm nodes, as they can overcome these limitations through stacked nanosheet (NS) channels [11]. Furthermore, NSFETs provide excellent electrostatics because the gate surrounds the NS channels and drives a larger current within the same footprint with a wider effective channel width than FinFETs [11,12].
The inner spacer is a distinctive structural feature of NSFETs that has not been employed in previous devices. Typically, selective etching of the SiGe sacrificial layers is performed to form the inner spacer. However, selective etching requires a high selectivity of SiGe to Si and lateral etching. Therefore, it can be vulnerable to process variations [13,14]. Furthermore, because the inner spacer determines the gate length (LG), these variations result in NSFETs with unintended LG changes and cause unoptimized leakage and DC/AC performance [11,15]. Therefore, precise control of the inner spacer thickness (TIS) is crucial for performance optimization.
Previous studies related to the inner spacer have focused on the electrical properties of NSFETs, assuming the same shape and thickness from the top inner spacer to the bottom inner spacer [11,15]. However, in the actual process, the TIS variation (ΔTIS) may not occur uniformly [11,16]. In addition, for three-stacked NSFETs, the top and middle inner spacers adjoin two adjacent NS channels, while the bottom inner spacer adjoins only one NS channel and a punch-through stopper (PTS) region. Thus, the thickness variations of the top/middle/bottom (T/M/B) inner spacers have different effects on the device behavior; i.e., the T/M/B ΔTIS (ΔTIS,T/ΔTIS,M/ΔTIS,B) have different effects on the performance. Therefore, the performance sensitivities must be studied separately. Additionally, the over-etched S/D recess is a crucial factor determining the effects of the parasitic bottom transistor (trpbt) on the DC performance [17]. The effects of trpbt on performance become more pronounced as LG decreases, which is a potential threat for further scaling [17,18]. However, there have been no studies on the effects of the S/D recess depth (TSD) along with T/M/B ΔTIS on the device behavior. In this study, for the first time, we comprehensively analyzed the sensitivity of the DC/AC characteristics to each ΔTIS considering the TSD, and the off-state characteristics were analyzed in detail using fully calibrated computer-aided design (TCAD) simulation technology [19].

2. Device Structure and Simulation Methodology

The sub-3-nm node NSFETs investigated in this study were simulated using Sentaurus TCAD tools. The following physical models were considered in the TCAD simulation:
  • The drift–diffusion model was considered using Poisson’s equations and the continuity equations to determine the electrostatic potential and carrier transport.
  • The density gradient model was considered for the quantum confinement effect in the drift-diffusion model [20,21].
  • The Slotboom bandgap narrowing model was considered for doping-dependent bandgap narrowing in Si and SiGe [22,23].
  • A low-field ballistic mobility model was considered for quasi-ballistic transport [24].
  • Mobility degradation at the interfaces was considered for remote phonon scattering and remote Coulomb scattering [25].
  • The inversion and accumulation layer mobility models were considered for Coulomb impurity, phonon scattering, and surface roughness scattering [26].
  • A high-field saturation model was considered for carrier velocity saturation under a strong electric field [27].
  • The deformation potential model was considered for the strain-induced density of states, effective mass of carriers, and energy-band shift [28].
  • The Auger and Shockley–Read–Hall (SRH) recombination models were used.
Figure 1a shows schematics of the sub-3-nm node 3-stacked NSFETs. Among the T/M/B ΔTIS, we varied only one of the T/M/B TIS, with the others fixed at 5 nm, to investigate the effects of the T/M/B ΔTIS on the DC/AC characteristics separately. Here, the thicknesses of the T/M/B inner spacers were defined as TIS,T, TIS,M, and TIS,B, respectively. In addition, TSD of 0 and 5 nm were used to consider the effects of TSD on the performance along with those of ΔTIS [14]. Therefore, a comprehensive analysis of ΔTIS considering the TSD effect was performed.
The TIS without variation (TIS,ref) was set as 5 nm, and only one of the three TIS was varied from 3 to 7 nm (Figure 1b). In this study, ΔTIS was defined as TIS − TIS,ref, and the LG of each channel depended on ΔTIS (LG = 22 − 2 × (TIS,ref + ΔTIS)). Si0.98C0.02 (Si0.5Ge0.5) S/D doped with phosphorus (boron) at 4 × 1020 cm−3 was used for the NFETs (PFETs). The contact resistance of the S/D was set as 1 nΩ·cm2. The PTS layer was doped at 3 × 1018 cm−3, and the drain voltage (Vds) was fixed at |0.7| V. The geometric parameters are presented in Table 1. The NSFETs were calibrated to TSMC’s 5-nm node FinFETs [5], and the same physical parameters were used, as shown in our previous studies [29]. The drain current was fitted by adjusting the doping profile, ballistic coefficient, and saturation velocity. The doping profile was changed to fit the subthreshold swing and DIBL since the doping profile is deeply concerned with the device behaviors in the subthreshold region. The ballistic coefficient was tuned to fit the drain current in the linear region, and the saturation velocity was set to fit the drain current in the saturation region. We extracted the on-state current (Ion) and gate capacitance (Cgg) at |Vgs| = 0.7 V and |Vds| = 0.7 V. Moreover, the off-state current (Ioff) and parasitic capacitance (Cpara) were extracted at |Vgs| = 0 V and |Vds| = 0.7 V.

3. Results and Discussion

Figure 2 shows the transfer curves of NSFETs with different TIS,B for TSD = 0 and 5 nm. No significant dependence of the DC performance on ΔTIS,B was observed at TSD = 0 (Figure 2a). By contrast, at TSD = 5 nm, the Ioff increased significantly as TIS,B increased (Figure 2b). The TSD typically impacts the Ioff of trpbt [17], where TIS,B determines the LG of trpbt. Because the LG of trpbt affects the gate controllability over the PTS region, an increase in ΔTIS,B significantly degrades the DC performance. As an increase in TSD degrades the gate controllability of trpbt, TIS,B is a critical factor determining the parasitic punch-through current (Ipt) in the PTS region. Therefore, the subthreshold swing and DIBL are significantly degraded, as shown in the inset of Figure 2 and Table 2.
The Ioff sensitivities to the T/M/B ΔTIS (SIoff,T/SIoff,M/SIoff,B) are compared in Figure 3. We defined SIoff as the slope of Ioff−ΔTIS, which indicates how sensitively Ioff varies with respect to ΔTIS. For the NFETs with TSD = 0 nm, the SIoff,T (0.208) and SIoff,M (0.228) slightly exceeded the SIoff,B (0.104 nA/nm), and similar SIoff tendencies were observed for the PFETs. The TSD variation not only increased Ioff, but also significantly increased SIoff,B for both the NFETs and the PFETs. The SIoff,B for the NFETs is greater than that for the PFETs, which is mainly attributed to the S/D dopant diffusion into the PTS region. Phosphorus has a higher diffusivity than boron; therefore, more S/D dopant diffuses into the PTS region in NFETs than in PFETs [30]. Consequently, the NFETs are more sensitive to the ΔTIS,B in terms of Ioff. For the NFETs with TSD = 5 nm, SIoff,T, SIoff,M, and SIoff,B were 0.195, 0.209, and 2.34 nA/nm, respectively. SIoff,T and SIoff,M were almost identical regardless of the TSD, but SIoff,B increased by a factor of 22.5 when the TSD increased from 0 to 5 nm. This indicated that the S/D recess process variation slightly affects SIoff,T and SIoff,M but significantly affects SIoff,B. Thus, if the TSD variation is not perfectly eliminated, ΔTIS,B should be controlled below 1 nm, because devices with greater than 10 times in Ioff are not suitable for the intended system-on-chip applications.
The differences in the SIoff shown in Figure 3 can be explained using the Ioff-density profiles (Figure 4). In NSFETs with TSD = 0 nm, most carriers existed in the NS channels, and a few were in the PTS region owing to the heavily doped PTS. Furthermore, ΔTIS-induced Ioff density variations mainly arose in the NS channels next to the inner spacer with variations in the thickness. Thus, the top and middle inner spacers adjacent to the NS channels with high carrier concentrations exhibited larger changes in the Ioff density than the bottom inner spacer. Therefore, SIoff,T and SIoff,M are higher than SIoff,B for the NSFETs with TSD = 0 nm. By contrast, SIoff,B was the highest when the TSD was 5 nm. Figure 4b shows the Ioff density profiles for NFETs with different TIS,B in the case of TSD = 5 nm. As TIS,B increased, the off-state Ipt (Ipt,off) was not suppressed, resulting in a significant increase in Ioff, as shown in Figure 2. The Ioff density varied according to ΔTIS,B in the bottom NS and PTS regions but varied to a significantly larger extent in the PTS region. Specifically, the TSD variation significantly enhanced the effects of trpbt on Ioff, and the change in Ipt,off was a dominant factor in the SIoff,B increment. This is because the PTS region was only controlled by the bottom gate. Therefore, the bottom gate could not effectively control the PTS region far from the bottom gate. As a result, worse short-channel effects (SCEs) were observed in the PTS region than in the NS channel.
Figure 5a shows the conduction band energy (Ec) diagrams of the source–PTS–drain in the NFETs, which were extracted under the off-state bias condition. As the TSD increased from 0 to 5 nm, the significant reduction in the energy barrier height (Φb) from 478 to 402 mV was caused by the larger amount of S/D dopant diffusion into the PTS region at a TSD of 5 nm. In NFETs with TSD = 0 nm, the Φb of the PTS region was sufficiently high to control Ipt,off regardless of ΔTIS,B (Figure 5b). Therefore, Ioff can be effectively controlled even with ΔTIS,B. However, if Φb is not sufficiently high, the additional Φb reduction due to ΔTIS,B can be a critical factor in inducing Ipt,off. An additional Φb reduction was observed when TIS,B increased, and the change in Φb by ΔTIS,B significantly contributed to the Ipt,off variation (Figure 3 and Figure 5c). Therefore, the bottom LG of trpbt, which is related to TIS,B, is important for suppressing SCEs in the PTS region. According to these results, SIoff,B is significantly affected by TSD. Thus, minimizing ΔTIS,B is more crucial when an over-etched S/D recess occurs.
Figure 6 shows the relationship between the on-state current (Ion) and ΔTIS, and the slope indicates the Ion sensitivity (SIon). For the NFETs, the SIon,T and SIon,M are slightly higher than the SIon,B regardless of the TSD. By contrast, for the PFETs, the SIon,B varied significantly with respect to the TSD, leading to an increase in SIon,B by a factor of 1.9. Thus, an increase in ΔTIS,B can cause severe Ion variations when the TSD is not precisely controlled. The reason for the differences in the SIon is explained in Figure 7.
The Rsd sensitivity (SRsd) and on-state Ipt (Ipt,on)-density variations to the ΔTIS account for the differences in T/M/B SIon (Figure 7). Rsd was extracted using Y-function techniques, as described in [31]. Two main factors determine SIon: Rsd and inversion charges in the PTS region. Additionally, the major factors affecting SIon depend on the TSD. For both the NFETs and PFETs with TSD = 0 nm, SIon was mainly affected by the change in Rsd, which consisted of the series S/D epi resistance (Repi) and extension resistance (Rext). Repi did not change with respect to ΔTIS, but Rext did. Because SRsd varied proportionally to the number of NS channels adjacent to the inner spacer where ΔTIS occurred (Figure 7a), SIon,T and SIon,M were greater than SIon,B. However, the inversion charges in the PTS region significantly affected SIon when TSD was 5 nm. As the deep TSD caused a substantial current to flow through trpbt, the Ion contribution of the PTS region was no longer small. The inversion charges in the PTS region should also be considered (Figure 7b). For the NFETs, the Ipt,on density in trpbt decreased slightly as TIS,B increased, whereas the large decrease in Ipt,on was observed for the PFETs. This is because higher SCEs and Vth reductions were observed in the NFETs, as the large amounts of diffused S/D dopants reduced Φb (Figure 2b and Figure 5a). Therefore, in the NFETs, the Vth reduction of trpbt lowered the effects of the increase in Rsd, which was the dominant factor determining SIon,B. By contrast, in the PFETs, the Vth reduction of trpbt was small; thus, Ipt,on decreased significantly owing to the increase in the Rsd of trpbt. Consequently, SIon,B was the smallest for the NFETs, but for the PFETs, the TSD variation caused Ion to be most sensitive to ΔTIS,B.
Based on these results, we can provide two guidelines for controlling the DC performance variation, which depends on TSD. In the case of TSD = 0, precisely controlling TIS,T and TIS,M rather than TIS,B is effective for minimizing the variations in Ioff and Ion, as shown in Figure 3 and Figure 6. However, considering the TSD variation, it is necessary to focus on the bottom inner spacer, because a precisely controlled TIS,B, can considerably reduce the performance variation. Otherwise, the effects of trpbt on the DC performance become large as TIS,B increases, resulting in the worst case with the highest Ioff and lowest Ion in PFETs, which significantly diminishes the performance advantages of NSFETs.
The gate capacitance (Cgg) with respect to ΔTIS for NSFETs (TSD = 0) is shown in Figure 8, and Cgg is decomposed into the intrinsic capacitance (Cint) and parasitic capacitance (Cpara). Cpara was extracted under the off-state bias, and Cint was calculated by subtracting Cpara from Cgg under the on-state bias. As shown in Figure 8a, the differences in the Cgg sensitivity to T/M/B ΔTIS (SCgg) were small. However, the changes in Cint and Cpara for each ΔTIS did not have the same sensitivity. Cpara, which was determined by the fringing field between the gate and S/D, was affected by the TIS. Therefore, the sensitivity of Cpara to ΔTIS was almost identical among the T/M/B ΔTIS (Figure 8b). However, the sensitivity of Cint to ΔTIS,B was lower than those of ΔTIS,T and ΔTIS,M (Figure 8c). Although the inversion charge variations caused by ΔTIS,B mainly occurred in the bottom NS and PTS regions, the charge variations in the PTS region were smaller than those in the NS channels, leading to different AC sensitivities to the T/M/B ΔTIS. However, because the differences in the Cint sensitivity to the T/M/B ΔTIS were not large, it can be concluded that the overall performance sensitivity difference induced by each ΔTIS has greater effects on DC (Ioff, Ion) rather than the AC performance.

4. Conclusions

The sensitivities of the DC/AC performance to the T/M/B ΔTIS in sub-3-nm node NSFETs were quantitatively investigated using a fully calibrated TCAD simulation. The DC performance sensitivities (Ioff, Ion) to the T/M/B ΔTIS differed. However, there were no significant differences in the AC sensitivities. One of the notable results was that ΔTIS, which varied the performance the most, was different according to the TSD variations. In NSFETs with TSD = 0 nm, SIoff,B was lower than SIoff,T and SIoff,M because the effects of ΔTIS,B were primarily observed in the bottom NS channel. However, trpbt was no longer negligible when the TSD was 5 nm. Thus, if the TSD variation is not controlled, NFETs (PFETs) have higher SIoff,B (SIon,B) because of the effects of trpbt. It can be concluded that the bottom inner spacer is the element with the most significant effect on the DC/AC performance. Hence, reducing ΔTIS,B is important for yield enhancement.

Author Contributions

Conceptualization, S.L. (Sanguk Lee); methodology, S.L. (Sanguk Lee), J.-S.Y. and J.J.; formal analysis, S.L. (Sanguk Lee); investigation, S.L. (Sanguk Lee), J.J., S.L. (Seunghwan Lee) and J.L. (Junjoung Lee); writing—original draft preparation, S.L. (Sanguk Lee); writing—review and editing, J.-S.Y., J.J., S.L. (Seunghwan Lee), J.L. (Junjoung Lee) and J.L. (Jaewan Lim); supervision, J.J. and R.-H.B.; project administration, R.-H.B.; funding acquisition, R.-H.B. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by POSTECH-Samsung Electronics Industry-Academia Cooperative Research Center; National Research Foundation of Korea (NRF) grant funded by the Korea Government (MSIT) (No. NRF-2022R1C1C1004925, NRF-2020M3F3A2A02082436, NRF-2020R1A4A4079777); MOTIE (Ministry of Trade, Industry & Energy) (No. 20019450, 20020265) and KSRC (Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device and BK21 FOUR Program.

Data Availability Statement

Not applicable.

Acknowledgments

The EDA tool was supported by the IC Design Education Center, Korea.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Auth, C.; Allen, C.; Blattner, A.; Bergstrom, D.; Brazier, M.; Bost, M.; Buehler, M.; Chikarmane, V.; Ghani, T.; Glassman, T.; et al. A 22nm High Performance and Low-Power CMOS Technology Featuring Fully-Depleted Tri-Gate Transistors, Self-Aligned Contacts and High Density MIM Capacitors. In Digest of Technical Papers, Proceedings of the Symposium on VLSI Technology (Symposium on VLSI Technology), Honolulu, HI, USA, 12–14 June 2012; IEEE: Piscataway, NJ, USA, 2012; Volume 980, pp. 131–132. [Google Scholar] [CrossRef]
  2. Natarajan, S.; Agostinelli, M.; Akbar, S.; Bost, M.; Bowonder, A.; Chikarmane, V.; Chouksey, S.; Dasgupta, A.; Fischer, K.; Fu, Q.; et al. A 14nm Logic Technology Featuring 2nd-Generation FinFET, Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588 Μm2 SRAM Cell Size. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 3.7.1–3.7.3. [Google Scholar] [CrossRef]
  3. Auth, C.; Aliyarukunju, A.; Asoro, M.; Bergstrom, D.; Bhagwat, V.; Birdsall, J.; Bisnik, N.; Buehler, M.; Chikarmane, V.; Ding, G.; et al. A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects. In Proceedings of the International Electron Devices Meeting, IEDM, San Francisco, CA, USA, 2–6 December 2017; pp. 29.1.1–29.1.4. [Google Scholar]
  4. Xie, R.; Montanini, P.; Akarvardar, K.; Tripathi, N.; Haran, B.; Johnson, S.; Hook, T.; Hamieh, B.; Corliss, D.; Wang, J.; et al. A 7nm FinFET Technology Featuring EUV Patterning and Dual Strained High Mobility Channels. In Proceedings of the 2016 IEEE international electron devices meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; Volume 12, pp. 2.7.1–2.7.4. [Google Scholar] [CrossRef]
  5. Yeap, G.; Chen, X.; Yang, B.R.; Lin, C.P.; Yang, F.C.; Leung, Y.K.; Lin, D.W.; Chen, C.P.; Yu, K.F.; Chen, D.H.; et al. 5nm CMOS Production Technology Platform Featuring Full-Fledged EUV, and High Mobility Channel FinFETs with Densest 0.021μm2 SRAM Cells for Mobile SoC and High Performance Computing Applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 879–882. [Google Scholar] [CrossRef]
  6. Kye, J.; Kim, H.; Lim, J.; Lee, S.; Jung, J.; Song, T. Smart Scaling Technology for Advanced FinFET Node. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 149–150. [Google Scholar] [CrossRef]
  7. Bae, G.; Bae, D.I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3nm GAA Technology Featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar] [CrossRef]
  8. Chang, J.B.; Guillorn, M.; Solomon, P.M.; Lin, C.H.; Engelmann, S.U.; Pyzyna, A.; Ott, J.A.; Haensch, W.E. Scaling of SOI FinFETs down to Fin Width of 4 nm for the 10nm Technology Node. In Proceedings of the 2011 Symposium on VLSI Technology, Kyoto, Japan, 14–16 June 2011; pp. 12–13. [Google Scholar]
  9. He, X.; Fronheiser, J.; Zhao, P.; Hu, Z.; Uppal, S.; Wu, X.; Hu, Y.; Sporer, R.; Qin, L.; Krishnan, R.; et al. Impact of Aggressive Fin Width Scaling on FinFET Device Characteristics. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 20.2.1–20.2.4. [Google Scholar] [CrossRef]
  10. Razavieh, A.; Zeitzoff, P.; Nowak, E.J. Challenges and Limitations of CMOS Scaling for FinFET and beyond Architectures. IEEE Trans. Nanotechnol. 2019, 18, 999–1004. [Google Scholar] [CrossRef]
  11. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked Nanosheet Gate-All-around Transistor to Enable Scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar] [CrossRef]
  12. Barraud, S.; Lapras, V.; Previtali, B.; Samson, M.P.; Lacord, J.; Martinie, S.; Jaud, M.; Athanasiou, S.; Triozon, F. Performance and Design Considerations for gate-all-around stacked-NanoWires FETs. In Proceedings of the 2017 IEEE international electron devices meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 1–4. [Google Scholar]
  13. Barraud, S.; Lapras, V.; Samson, M.P.; Gaben, L.; Grenouillet, L.; Maffini-Alvaro, V.; Morand, Y.; Daranlot, J.; Rambal, N.; Previtalli, B.; et al. Vertically Stacked-NanoWires MOSFETs in a Replacement Metal Gate Process with Inner Spacer and SiGe Source/Drain. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 17.6.1–17.6.4. [Google Scholar] [CrossRef] [Green Version]
  14. Loubet, N.; Devarajan, T.; Zhang, J.; Miao, X.; Sankar, M.; Breton, M.; Chao, R.; Greene, A.; Yu, L.; Frougier, J.; et al. A Novel Dry Selective Etch of SiGe for the Enablement of High Performance Logic Stacked Gate-All-Around NanoSheet Devices. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019. [Google Scholar] [CrossRef]
  15. Yoon, J.S.; Jeong, J.; Lee, S.; Baek, R.H. Punch-Through-Stopper Free Nanosheet FETs with Crescent Inner-Spacer and Isolated Source/Drain. IEEE Access 2019, 7, 38593–38596. [Google Scholar] [CrossRef]
  16. Schmidt, D.; Cepler, A.; Durfee, C.; Pancharatnam, S.; Frougier, J.; Breton, M.; Greene, A.; Klare, M.; Koret, R.; Turovets, I. Development of SiGe Indentation Process Control for Gate-All-Around FET Technology Enablement. IEEE Trans. Semicond. Manuf. 2022, 6507, 412–417. [Google Scholar] [CrossRef]
  17. Jeong, J.; Yoon, J.S.; Lee, S.; Baek, R.H. Comprehensive Analysis of Source and Drain Recess Depth Variations on Silicon Nanosheet FETs for Sub 5-Nm Node SoC Application. IEEE Access 2020, 8, 35873–35881. [Google Scholar] [CrossRef]
  18. Zhang, J.; Pancharatnam, S.; Adams, C.; Wu, H.; Zhou, H.; Shen, T.; Xie, R.; Sankarapandian, M.; Wang, J.; Watanabe, K.; et al. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December. [CrossRef]
  19. Synopsys Inc. Version O-2019.12-SP1; Synopsys Inc.: Mountain View, CA, USA, 2019. [Google Scholar]
  20. Ancona, M.G.; Tiersten, H.F. Macroscopic Physics of the Silicon Inversion Layer. Phys. Rev. B 1987, 35, 7959–7965. [Google Scholar] [CrossRef] [PubMed]
  21. Ancona, M.G.; Iafrate, G.J. Quantum Correction to the Equation of State of an Electron Gas in a Semiconductor. Phys. Rev. B 1989, 39, 9536–9540. [Google Scholar] [CrossRef]
  22. Slotboom, J.W.; de Graaff, H.C. Measurements of Bandgap Narrowing in Si Bipolar Transistors. Solid State Electron. 1976, 19, 857–862. [Google Scholar] [CrossRef]
  23. Klaassen, D.B.M.; Slotboom, J.W.; de Graaff, H.C. Unified Apparent Bandgap Narrowing in N- and p-Type Silicon. Solid. State. Electron. 1992, 35, 125–129. [Google Scholar] [CrossRef]
  24. Shur, M.S. Low Ballistic Mobility in Submicron HEMTs. IEEE Electron Device Lett. 2002, 23, 511–513. [Google Scholar] [CrossRef]
  25. Ando, T. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging? Materials 2012, 5, 478–500. [Google Scholar] [CrossRef]
  26. Mujtaba, S.A. Advanced Mobility Models for Design and Simulation of Deep Submicron MOSFETs. Ph.D. Dissertation, Department of Electrical Engineering Stanford University, Stanford, CA, USA, 1996. [Google Scholar]
  27. Canali, C.; Majni, G.; Minder, R.; Ottaviani, G. Electron and Hole Drift Velocity Measurements in Silicon and Their Empirical Relation to Electric Field and Temperatures. IEEE Trans. Electron Devices 1976, 23, 1113. [Google Scholar] [CrossRef]
  28. Bardeen, J.; Shockley, W. Deformation Potentials and Mobilities in Non-Polar Crystals. Phys. Rev. 1950, 80, 72–80. [Google Scholar] [CrossRef]
  29. Yoon, J.S.; Jeong, J.; Lee, S.; Lee, J.; Lee, S.; Baek, R.H.; Lim, S.K. Performance, Power, and Area of Standard Cells in Sub 3 Nm Node Using Buried Power Rail. IEEE Trans. Electron Devices 2022, 69, 894–899. [Google Scholar] [CrossRef]
  30. Zangenberg, N.R.; Fage-Pedersen, J.; Hansen, J.L.; Larsen, A.N. Boron and Phosphorus Diffusion in Strained and Relaxed Si and SiGe. J. Appl. Phys. 2003, 94, 3883–3890. [Google Scholar] [CrossRef]
  31. Baek, R.H.; Baek, C.K.; Jung, S.W.; Yeoh, Y.Y.; Kim, D.W.; Lee, J.S.; Kim, D.M.; Jeong, Y.H. Characteristics of the Series Resistance Extracted from Si Nanowire FETs Using the Y-Function Technique. IEEE Trans. Nanotechnol. 2010, 9, 212–217. [Google Scholar] [CrossRef]
Figure 1. (a) Structure of NSFETs with the TSD and cross-sectional views. (b) Schematics of ΔTIS and its definition.
Figure 1. (a) Structure of NSFETs with the TSD and cross-sectional views. (b) Schematics of ΔTIS and its definition.
Nanomaterials 12 03349 g001
Figure 2. Transfer curves of the NSFETs having different TIS,B with (a) TSD = 0 nm and (b) TSD = 5 nm.
Figure 2. Transfer curves of the NSFETs having different TIS,B with (a) TSD = 0 nm and (b) TSD = 5 nm.
Nanomaterials 12 03349 g002
Figure 3. Ioff of NSFETs according to ΔTIS with TSD = 0 and 5 nm.
Figure 3. Ioff of NSFETs according to ΔTIS with TSD = 0 and 5 nm.
Nanomaterials 12 03349 g003
Figure 4. (a) Ioff density profiles of the NFETs with TSD = 0 and each ΔTIS equal to 2 nm. (b) Ioff density profiles of the NFETs with TSD = 5 nm for different values of ΔTIS,B.
Figure 4. (a) Ioff density profiles of the NFETs with TSD = 0 and each ΔTIS equal to 2 nm. (b) Ioff density profiles of the NFETs with TSD = 5 nm for different values of ΔTIS,B.
Nanomaterials 12 03349 g004
Figure 5. (a) Energy band diagram of the source–PTS–drain in NFETs with TSD = 5 nm (solid line) and TSD = 0 nm (dashed line). The Ec of the PTS region with different TIS,B at (b) TSD = 0 and (c) TSD = 5 nm.
Figure 5. (a) Energy band diagram of the source–PTS–drain in NFETs with TSD = 5 nm (solid line) and TSD = 0 nm (dashed line). The Ec of the PTS region with different TIS,B at (b) TSD = 0 and (c) TSD = 5 nm.
Nanomaterials 12 03349 g005
Figure 6. Ion of NSFETs having different ΔTIS with TSD = 5 nm (solid symbols) and TSD = 0 nm (open symbols).
Figure 6. Ion of NSFETs having different ΔTIS with TSD = 5 nm (solid symbols) and TSD = 0 nm (open symbols).
Nanomaterials 12 03349 g006
Figure 7. (a) Parasitic resistance (Rsd) of NFETs with respect to the ΔTIS. (b) Ipt,on density of NSFETs with respect to the ΔTIS,B.
Figure 7. (a) Parasitic resistance (Rsd) of NFETs with respect to the ΔTIS. (b) Ipt,on density of NSFETs with respect to the ΔTIS,B.
Nanomaterials 12 03349 g007
Figure 8. (a) Cgg, (b) Cpara, and (c) Cint for NFETs with respect to ΔTIS (TSD = 0). The capacitances were extracted at a frequency of 1 MHz.
Figure 8. (a) Cgg, (b) Cpara, and (c) Cint for NFETs with respect to ΔTIS (TSD = 0). The capacitances were extracted at a frequency of 1 MHz.
Nanomaterials 12 03349 g008
Table 1. Geometric parameters for sub-3-nm node NSFETs.
Table 1. Geometric parameters for sub-3-nm node NSFETs.
Fixed ParametersValues
Contact poly pitch (CPP)42 nm
Fin pitch (FP)60 nm
Gate length (LG)12 nm
Spacing thickness (TSP)10 nm
NS thickness (TCH)5 nm
NS width (WNS)25 nm
Interfacial layer thickness (TIL)0.6 nm
HfO2 thickness (THK)1.1 nm
TIS without variation (TIS,ref)5 nm
S/D doping concentration (NSD)4 × 1020 cm−3
PTS doping concentration (NPTS)3 × 1018 cm−3
Variable parametersValues
Excess S/D recess depth (TSD)0 or 5 nm
Inner spacer thickness (TIS)3–7 nm
Table 2. DIBL of NSFETs according to the TIS,B and TSD.
Table 2. DIBL of NSFETs according to the TIS,B and TSD.
TypeTIS,B [nm]DIBL [mV/V]
TSD = 0 nmTSD = 5 nm
NFETs36067
56272
76781
PFETs35154
55357
75861
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Lee, S.; Jeong, J.; Yoon, J.-S.; Lee, S.; Lee, J.; Lim, J.; Baek, R.-H. Sensitivity of Inner Spacer Thickness Variations for Sub-3-nm Node Silicon Nanosheet Field-Effect Transistors. Nanomaterials 2022, 12, 3349. https://0-doi-org.brum.beds.ac.uk/10.3390/nano12193349

AMA Style

Lee S, Jeong J, Yoon J-S, Lee S, Lee J, Lim J, Baek R-H. Sensitivity of Inner Spacer Thickness Variations for Sub-3-nm Node Silicon Nanosheet Field-Effect Transistors. Nanomaterials. 2022; 12(19):3349. https://0-doi-org.brum.beds.ac.uk/10.3390/nano12193349

Chicago/Turabian Style

Lee, Sanguk, Jinsu Jeong, Jun-Sik Yoon, Seunghwan Lee, Junjong Lee, Jaewan Lim, and Rock-Hyun Baek. 2022. "Sensitivity of Inner Spacer Thickness Variations for Sub-3-nm Node Silicon Nanosheet Field-Effect Transistors" Nanomaterials 12, no. 19: 3349. https://0-doi-org.brum.beds.ac.uk/10.3390/nano12193349

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop