Next Article in Journal
Fast Sidelobe Calculation for Planar Phased Arrays Using an Iterative Sidelobe Seeking Method
Next Article in Special Issue
A Review of the Gate-All-Around Nanosheet FET Process Opportunities
Previous Article in Journal
DKT-LCIRT: A Deep Knowledge Tracking Model Integrating Learning Capability and Item Response Theory
Previous Article in Special Issue
Heterogeneous and Monolithic 3D Integration Technology for Mixed-Signal ICs
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Design of a Capacitorless DRAM Based on Storage Layer Separated Using Separation Oxide and Polycrystalline Silicon

1
School of Electronic and Electrical Engineering, Kyungpook National University, Daegu 41566, Korea
2
Korea Multi-Purpose Accelerator Complex, Korea Atomic Energy Research Institute, Gyeongju 38180, Korea
3
Power Semiconductor Research Center, Korea Electrotechnology Research Institute, Changwon 51543, Korea
*
Author to whom correspondence should be addressed.
Submission received: 13 September 2022 / Revised: 4 October 2022 / Accepted: 15 October 2022 / Published: 18 October 2022
(This article belongs to the Special Issue Advanced CMOS Devices and Applications)

Abstract

:
In this study, a capacitorless one-transistor dynamic random-access memory (1T-DRAM) based on a polycrystalline silicon (Poly-Si) metal-oxide-semiconductor field-effect transistor (MOSFET) with a storage layer separated using a separation oxide was designed and analyzed using technology computer-aided design (TCAD). The channel and storage layers were separated using a separation oxide to improve the inferior retention time of the conventional 1T-DRAM, and we adopted the underlap structure to reduce Shockley-Read-Hall recombination. In addition, poly-Si, which has several advantages, including low manufacturing cost and availability of high-density three-dimensional (3D) memory arrays, is used to easily fabricate silicon-on-insulator (SOI)-like structures. Accordingly, we extracted memory performance by analyzing the effect of grain boundary (GB). The proposed 1T-DRAM achieved a sensing margin of 14.10 μA/μm and a retention time of 251 ms at T = 358 K, even in the existence of a GB.

1. Introduction

Conventional one-transistor (1T) one-capacitor (1C) dynamic random-access memory (DRAM) is a key product of the semiconductor industry. It comprises one transistor for reading and writing operations and one capacitor for storing charges. Recently, the transistor size has continued to shrink for improved performance, such as higher speed and lower power consumption, and severe difficulties have arisen in capacitor fabrication. Therefore, many researchers have proposed 1T-DRAM without capacitors to replace conventional DRAM [1,2,3,4,5,6,7,8,9,10]. The 1T-DRAM utilizes the floating body effect of a partially depleted silicon-on-insulator (SOI) to retain memory performance [11]. 1T-DRAM program methods include impact ionization, gate-induced barrier lowering, and band-to-band tunneling (BTBT), and excess holes are stored in the floating body [12,13,14]. However, SOI wafers are significantly costly and difficult to fabricate. A low-cost method for forming SOI-like structures using polycrystalline silicon (poly-Si) has been proposed [15]. In addition, poly-Si can replace conventional silicon fabrication processes and be applied to three-dimensional (3D) memory arrays. However, even if the manufacturing problem of SOI structures is addressed, miniaturization of the device results in an insufficient charge storage region. This increases the recombination/generation rate owing to the strong electric field between the body and source/drain junction, which degrades the retention time.
In this study, we present a 1T-DRAM based on poly-Si with a storage layer separated using a separation oxide. The proposed 1T-DRAM uses an underlap structure and separation oxide to improve the retention time. In addition, because the poly-Si used to fabricate SOI-like structures in a simple manner is an aggregate of grains [16,17], a grain boundary (GB) is formed where different grains contact each other. Therefore, the memory characteristics were analyzed assuming that a single GB existed at the center of the channel and storage layers. The memory performance of the proposed 1T-DRAM was investigated through a two-dimensional simulation and evaluated in terms of sensing margin and retention time.

2. Device Structure and Simulation

Figure 1 shows a cross-sectional view of the poly-Si-based 1T-DRAM with a storage layer separated using a separation oxide. The program, erase, and hold operations are performed by the top gate, while conventional metal-oxide-semiconductor field-effect transistor (MOSFET) operations are performed by the bottom gate. The bottom gate uses a heavily doped poly-Si to minimize the difficulty in metal-based gate fabrication. The geometric parameters are summarized in Table 1. The underlap structure and separation oxide are considered to be the main parameters that influence the memory performance of 1T-DRAM. The underlap structure reduces the electric field between the storage layer and source/drain. The separation oxide separates the channel and storage layers, enabling the excess holes to be stored more effectively.
Figure 2 shows the main fabrication steps of a proposed device crystallized via excimer laser crystallization (ELC) [18]. First, SiO2 is deposited and the bottom gate is etched. Second, amorphous silicon (a-Si) is deposited by LPCVD and activated by excimer laser irradiation to form a poly-Si bottom gate. Third, SiO2 is deposited to form a bottom gate oxide. Fourth, after deposition a-Si through LPCVD, a channel layer is formed through ELC. Fifth, a hard mask is formed through SiO2 deposition and etching. Sixth, ion implantation is performed to form the n-type source and drain of the channel layer. Seventh, SiO2 is deposited to form a separation oxide. Eighth, after deposition a-Si by LPCVD, a storage layer is formed through ELC. Ninth, the top gate oxide is formed through HfO2 deposition and etching. Tenth, the metal top gate was deposited. Finally, ion implantation was performed to form the n-type source and drain of the storage layer. The device can be fabricated through the above process. In addition, through silicon via process (TSV) is performed to connect the channel layer and the storage layer. The stack structure requires high temperature processing, which can threaten dopant diffusion. These manufacturing challenges can be overcome using ELC.
The work function of the top gate is 5.20 eV. The top gate work function increases the energy band of the storage layer, thereby generating a potential well that can store additional holes. The proposed 1T-DRAM consists of an 8 nm thick storage layer and the channel layer. The doping concentrations of the storage and channel layers are 1 × 1018 and 1 × 1016 cm−3, respectively, and that of the source/drain regions is 1 × 1020 cm−3. The channel length is set to 70 nm. A long channel is used because the longer the channel, the wider energy band of the hole storage area, which is advantageous for hole storage [19]. The top gate dielectric is designed with 3 nm thick HfO2. This is because the high dielectric constant of 22 increases the effect of the top gate controllability. Accordingly, the effect of the top gate work function on the storage layer is increased, so that the hole can be stored more effectively. For high accuracy, physical models such as Fermi-Dirac statistical, Shockley-Read-Hall (SRH) recombination, Auger recombination, nonlocal BTBT, and trap-assisted tunneling (TAT) models, doping-dependent and field-dependent mobility models, bandgap narrowing model, and quantum confinement effect were used in the simulations [20]. The design and analysis of the device were performed using Sentaurus technology computer-aided design (TCAD) simulation tool. Furthermore, the GB trap distribution of poly-Si was used as the calibrated data, which is reported in [15] (Figure 3).

3. Results

Figure 4a shows the drain current (Ids) versus gate voltage (Vgs,B) transfer characteristics of the proposed device. At different temperatures of 300 K and 358 K, the threshold voltage (Vth) is 1.00 V and 1.12 V, respectively. The heavily doped poly-Si bottom gate performs the conventional MOSFET operation. Although the gate controllability is reduced compared to that of the metal-based gate, this gate structure can be easily fabricated in a 3D stacked manner.
Figure 4b shows the transient characteristics of the proposed device. Table 2 summarizes the bias conditions for the memory performance of 1T-DRAM. The program operation uses the BTBT mechanism. Generated excess holes are accumulated in the storage layer. The erase operation reduces the potential barrier of the storage layer and a negative voltage is applied to drain. Thus, accumulated excess holes are removed by drifting towards the drain. As shown in Figure 4b, the proposed device extracted a sensing margin of 14.10 μA/μm at a temperature of 358 K.
Figure 5a shows the BTBT rate of the proposed device during the program operation. The program operation is performed using BTBT between the storage layer and drain. The program operation of the tunneling method is advantageous because it consumes less power than that of the impact ionization method. As shown in Figure 5b, the top gate voltage (Vgs,T) = −3.2 V and Vd = 3.0 V are applied to form a thin tunneling barrier between the storage layer and drain. BTBT occurs through a thin tunneling barrier, and the generated excess holes accumulate below the valence band of the top gate oxide. In addition, the stored excess holes are maintained owing to the negative voltage and high work function.
Figure 6a shows the hole density of the proposed device during the hold operation. The hold “1” state is defined after a program operation, and the hold “0” state is defined after an erase operation. As shown in Figure 6a, the hole density is different between hold “1” and hold “0” states. Figure 6b shows the energy band diagram of the storage layer during hold “1” and hole “0” operations in the storage layer. In the hold “1” state, an additional positive voltage is applied to the storage layer by the stored excess holes, reducing the potential barrier than in the hold “0” state. Moreover, a hold voltage of Vgs.T = −0.3 V is applied during hold operation to store excess holes more effectively.
Figure 7a shows the electron density of the proposed device during the read operations. During the read operation, the current flows in the channel layer when Vgs,B = 1.3 V and Vds = 0.5 V. Figure 7a shows the difference in electron density in the channel layer. As shown in Figure 7b, when excess holes are stored in the storage layer, they affect the potential barrier of the channel layer and have the same effect as that of lowering of Vth by applying an additional voltage. However, after the stored holes are erased, the potential barrier of the channel layer increases owing to the low hole density of the storage layer. This causes a drain current difference between read “1” and read “0” states, defined as the sensing margin.

3.1. Effect of Lunderlap Variation

It is important to analyze the retention time among the memory performance of 1T-DRAM. The retention time is the time it takes for accumulated excess holes by recombination/generation during hold operation to return to a steady state after program/erase operation. The retention time of 1T-DRAM is defined as the hold time, which is 50% of the sensing margin. Figure 8a shows the hole density of the proposed device depending on the Lunderlap in the hold “1” state. As Lunderlap increases, the influence of the top gate on the storage layer and the source/drain junction decreases. Therefore, the recombination rate of the storage layer is reduced and the retention time is increased. However, when the effect of the top gate is reduced, it is difficult to form a sufficient tunneling barrier for BTBT to occur at the storage layer and drain junction during program operation, as shown in Figure 8b. This eventually decreases the hole density in the storage layer, as shown in Figure 8a. Moreover, the sensing margin decreases because the hole density in the storage layer is insufficient. On the other hand, when Lunderlap decreases, the tunneling barrier between the storage layer and drain becomes very thin and excess holes are generated, resulting in a decreased potential barrier of the storage layer, as shown in Figure 9a. This increases the rate of recombination between the storage layer and the source/drain so that the retention time is reduced. Figure 9b shows the sensing margin and retention time depending on the Lunderlap of the proposed device. At a temperature of 358 K, the sensing margin reached the peak value at Lunderlap = 0 nm, and the retention time reached the peak value of 251 ms at Lunderlap = 10 nm. In addition, this performance adheres to the international roadmap for devices and systems (IRDS) [21].

3.2. Effect of Tsox Variation

The conventional 1T-DRAM stores excess holes in a floating body. However, the stored holes tend to decrease rapidly during the hold and read operations, limiting the retention time. Therefore, the proposed 1T-DRAM effectively stores excess holes and reduces the SRH recombination rate by separating the channel and storage layers with a separation oxide to improve the retention time.
Figure 10a shows the SRH recombination rate of the proposed device depending on the Tsox in the hold “1” state. As Tsox decreases, the recombination rate increases because the source/drain junction of the storage layer is influenced by the electric field of the bottom gate. As shown in Figure 10b, when Tsox = 1 nm, the SRH recombination rate attains a peak value and decreases gradually. However, when Tsox exceeds 20 nm, the effect between the storage and channel layers and the retention time decreases. In terms of the sensing margin, as Tsox increases, the effect of the top gate work function on the channel layer gradually decreases, and the potential barrier of the channel layer decreases, as shown in Figure 11a. Consequently, the read current and sensing margin increase. However, when Tsox > 15 nm, the relationship between the storage and channel layers weakens. Therefore, the excess holes stored in the storage layer cannot be applied as an additional positive voltage. Therefore, the difference between the read “1” and “0” currents decrease. Figure 11b shows the sensing margin and retention time depending on Tsox of the proposed device. At a temperature of 358 K, the sensing margin achieved a peak value of 15.60 μA/μm at Tsox = 15 nm, and the retention time achieved a peak value of 251 ms at Tsox = 20 nm. Additionally, when Tsox = 20 nm, a sufficient sensing margin of 14.10 μA/μm is extracted. Accordingly, optimal memory performance is obtained at Tsox = 20 nm.

3.3. Effect of GB Location

Because the proposed device uses poly-Si to fabricate an SOI-like structure easily, it is necessary to analyze its effect on the GB. The grain size of poly-Si can be adjusted according to the growth and annealing temperatures. Therefore, it can be significantly larger than that of a recently scaled-down device. When investigating the impact of the GB, it is assumed that a single GB is located at the center of the storage and channel layers, as shown in Figure 12a. Figure 12b shows the memory characteristics based on GB location. The sensing margins and retention times at GB locations are summarized in Table 3.
When a GB exists in the storage layer, the sensing margin is larger than that without a GB in the storage layer. This is because the difference between read “1” and read “0” increases owing to the hole/electrons captured by the GB trap of the storage layer. However, the retention time is reduced by the TAT mechanism through GB traps, as shown in Figure 13a. This is because the recombination/generation rates of hole are increased by the TAT mechanism. When a GB exists in the channel layer, electrons are captured in the region where the GB is located; therefore, potential barriers increase, as shown in Figure 13b. The increased potential barrier disturbs the current flowing from the source to the drain, significantly decreasing the sensing margin. In addition, the reduced sensing margin degrades the retention time. As a result, the memory performance of the proposed 1T-DRAM exhibits a superior performance of 28.58 μA/μm sensing margin and 648 ms retention time without a GB. Furthermore, when the GB exists in the storage and channel layers, the sensing margin and retention time are 14.10 μA/μm and 251 ms, respectively, which correspond to an excellent memory performance.
Table 4 presents the previously reported memory performance of the 1T-DRAM. The 1T-DRAM developed in this study exhibits a superior memory performance at T = 358 K compared with other devices.

4. Conclusions

In this study, a poly-Si-based 1T-DRAM with a storage layer separated using a separation oxide was designed and analyzed using TCAD simulation. In addition to analyzing the effect of GB on memory performance, the important parameters Lunderlap and Tsox were evaluated. The proposed 1T-DRAM obtained high retention time despite the effect of GB because the storage efficiency was improved by separating the channel and the storage layers with separation oxide. As a result, the optimized parameters are Lch = 70 nm, Lunderlap = 10 nm, and Tsox = 20 nm, achieving a sensing margin of 14.10 μA/μm and a high retention time of 251 ms at T = 358 K. Therefore, the proposed 1T-DRAM has the potential to replace the conventional 1T-1C DRAM.

Author Contributions

Conceptualization, G.U.K.; investigation, G.U.K., data curation, G.U.K., S.H.L. and J.P.; validation, Y.J.Y., J.H.S., G.E.K., J.H.H., J.J., J.-H.B., S.-H.L. and I.M.K.; writing—original draft preparation, G.U.K.; writing—review and editing, I.M.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (No. NRF-2020R1A2C1005087). This study was supported by the BK21 FOUR project funded by the Ministry of Education, Korea (4199990113966). This research was supported by Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2021R1A6A3A13039927). This research was supported by National R&D Program through the National Research Foundation of Korea (NRF) funded by Ministry of Science and ICT (2021M3F3A2A03017764). This research was supported by National R&D Program through the National Research Foundation of Korea (NRF) funded by Ministry of Science and ICT (NRF-2022M3I7A1078936). This investigation was financially supported by Semiconductor Industry Collaborative Project between Kyungpook National University and Samsung Electronics Co. Ltd. The EDA tool was supported by the IC Design Education Center (IDEC), Korea.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Ieong, M.; Narayanan, V.; Singh, D.; Topol, A.; Chan, V.; Ren, Z. Transistor scaling with novel materials. Mater. Today 2006, 9, 26–31. [Google Scholar] [CrossRef]
  2. Bawedin, M.; Cristoloveanu, S.; Flandre, D. A capacitorless 1T-DRAM on SOI based on dynamic coupling and double-gate operation. IEEE Electron Device Lett. 2008, 29, 795–798. [Google Scholar] [CrossRef]
  3. Okhonin, S.; Nagoga, M.; Sallese, J.M.; Fazan, P. A capacitor-less 1T-DRAM cell. IEEE Electron Device Lett. 2002, 23, 85–87. [Google Scholar] [CrossRef]
  4. Mandelman, J.A.; Dennard, R.H.; Bronner, G.B.; DeBrosse, J.K.; Divakaruni, R.; Li, Y.; Radens, C.J. Challenges and future directions for the scaling of dynamic random-access memory (DRAM). IBM J. Res. Dev. 2002, 46, 187–212. [Google Scholar] [CrossRef]
  5. Giusi, G.; Alam, M.A.; Crupi, F.; Pierro, S. Bipolar mode operation and scalability of double-gate capacitorless 1T-DRAM cells. IEEE Trans. Electron Devices 2010, 57, 1743–1750. [Google Scholar] [CrossRef]
  6. Giusi, G.; Iannaccone, G. Junction engineering of 1T-DRAMs. IEEE Electron Device Lett. 2013, 34, 408–410. [Google Scholar] [CrossRef]
  7. Ertosun, M.G.; Cho, H.; Kapur, P.; Saraswat, K.C. A nanoscale vertical double-gate single-transistor capacitorless DRAM. IEEE Electron Device Lett. 2008, 29, 615–617. [Google Scholar] [CrossRef]
  8. Moon, D.I.; Choi, S.J.; Han, J.W.; Kim, S.; Choi, Y.K. Fin-width dependence of BJT-based 1T-DRAM implemented on FinFET. IEEE Electron Device Lett. 2010, 31, 909–911. [Google Scholar] [CrossRef]
  9. Han, J.W.; Ryu, S.W.; Kim, D.H.; Kim, C.J.; Kim, S.; Moon, D.I.; Choi, S.J.; Choi, Y.K. Fully depleted polysilicon TFTs for capacitorless 1T-DRAM. IEEE Electron Device Lett. 2009, 30, 742–744. [Google Scholar] [CrossRef]
  10. Kuo, C.; King, T.J.; Hu, C. A capacitorless double gate DRAM technology for sub-100-nm embedded and stand-alone memory applications. IEEE Trans. Electron Devices 2003, 50, 2408–2416. [Google Scholar] [CrossRef]
  11. Ouisse, T.; Ghibaudo, G.; Brini, J.; Cristoloveanu, S.; Borel, G. Investigation of floating body effects in silicon-on-insulator metal-oxide-semiconductor field-effect transistors. J. Appl. Phys. 1991, 70, 3912–3919. [Google Scholar] [CrossRef]
  12. Okhonin, S.; Nagoga, M.; Sallese, J.M.; Fazan, P. A SOI capacitor-less 1T-DRAM concept. In Proceedings of the 2001 IEEE International SOI Conference, Proceedings (Cat. No. 01CH37207), Durango, CO, USA, 1–4 October 2001; pp. 153–154. [Google Scholar] [CrossRef]
  13. Guegan, G.; Touret, P.; Molas, G.; Raynaud, C.; Pretext, J. A novel capacitor-less 1T-DRAM on partially depleted SOI pMOSFET based on direct-tunneling current in the partial n+ poly gate. In Proceedings of the 2009 International Conference on Solid State Devices and Materials, Miyagi, Japan, 7–9 October 2009; pp. 446–447. [Google Scholar] [CrossRef]
  14. Yoshida, E.; Tanaka, T. A capacitorless 1T-DRAM technology using gate-induced drain-leakage (GIDL) current for low-power and high-speed embedded memory. IEEE Trans. Electron Devices 2006, 53, 692–697. [Google Scholar] [CrossRef]
  15. Seo, J.H.; Yoon, Y.J.; Yu, E.; Sun, W.; Shin, H.; Kang, I.M.; Lee, J.H.; Cho, S. Fabrication and characterization of a thin-body poly-Si 1T DRAM with charge-trap effect. IEEE Electron Device Lett. 2019, 40, 566–569. [Google Scholar] [CrossRef]
  16. Koyanagi, M.; Baba, Y.; Hata, K.; Wu, I.W.; Lewis, A.G.; Fuse, M.; Bruce, R. The charge-pumping technique for grain boundary trap evaluation in polysilicon TFTs. IEEE Electron Device Lett. 1992, 13, 152–154. [Google Scholar] [CrossRef]
  17. Yu, E.; Kim, Y.; Lee, J.; Cho, Y.; Lee, W.J.; Cho, S. Processing and characterization of ultra-thin poly-crystalline silicon for memory and logic applications. J. Semicond. Technol. Sci. 2018, 18, 172–179. [Google Scholar] [CrossRef]
  18. Lee, I.C.; Tsai, T.C.; Tsai, C.C.; Yang, P.Y.; Wang, C.L.; Cheng, H.C. High-performance vertically stacked bottom-gate and top-gate polycrystalline silicon thin-film transistors for three-dimensional integrated circuits. Solid-State Electron. 2012, 77, 26–30. [Google Scholar] [CrossRef]
  19. Yoon, Y.J.; Lee, J.S.; Kim, D.S.; Lee, S.H.; Kang, I.M. One-transistor dynamic random-access memory based on gate-all-around junction-less field-effect transistor with a Si/SiGe heterostructure. Electronics 2020, 9, 2134. [Google Scholar] [CrossRef]
  20. User’s Manual; Version L-2016.03; Synopsys: Mountain View, CA, USA, 2016.
  21. More Moore, 2021 International Roadmap for Devices and Systems (IRDS™) Edition. 2021. Available online: https://0-irds-ieee-org.brum.beds.ac.uk/editions/2021 (accessed on 1 September 2022).
  22. Cho, M.S.; Mun, H.J.; Lee, S.H.; Jang, J.; Bae, J.H.; Kang, I.M. Simulation of capacitorless dynamic random access memory based on junctionless FinFETs using grain boundary of polycrystalline silicon. Appl. Phys. A 2020, 126, 943. [Google Scholar] [CrossRef]
  23. Yoon, Y.J.; Cho, M.S.; Kim, B.G.; Seo, J.H.; Kang, I.M. Capacitorless one-transistor dynamic random-access memory based on double-gate metal-oxide-semiconductor field-effect transistor with Si/SiGe heterojunction and underlap structure for improvement of sensing margin and retention time. J. Nanosci. Nanotechnol. 2019, 19, 6023–6030. [Google Scholar] [CrossRef]
  24. James, A.; Saurabh, S. Dopingless 1T DRAM: Proposal, design, and analysis. IEEE Access 2019, 7, 88960–88969. [Google Scholar] [CrossRef]
  25. Lee, S.H.; Jang, W.D.; Yoon, Y.J.; Seo, J.H.; Mun, H.J.; Cho, M.S.; Jang, J.; Bae, J.H.; Kang, I.M. Polycrystalline-silicon-MOSFET-based capacitorless DRAM with grain boundaries and its performances. IEEE Access 2021, 9, 50281–50290. [Google Scholar] [CrossRef]
  26. Park, J.; Cho, M.S.; Lee, S.H.; An, H.D.; Min, S.R.; Kim, G.U.; Yoon, Y.J.; Seo, J.H.; Jang, J.; Bae, J.H.; et al. Design of Capacitorless DRAM Based on Polycrystalline Silicon Nanotube Structure. IEEE Access 2021, 9, 163675–163685. [Google Scholar] [CrossRef]
  27. Yoon, Y.J.; Seo, J.H.; Kang, I.M. Capacitorless one-transistor dynamic random-access memory based on asymmetric double-gate Ge/GaAs-heterojunction tunneling field-effect transistor with n-doped boosting layer and drain-underlap structure. Jpn. J. Appl. Phys. 2018, 57, 04FG03. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Poly-Si based 1T-DRAM with storage layer separated using separation oxide.
Figure 1. Poly-Si based 1T-DRAM with storage layer separated using separation oxide.
Electronics 11 03365 g001
Figure 2. Key fabrication steps of the proposed 1T-DRAM crystallized via excimer laser crystallization [18].
Figure 2. Key fabrication steps of the proposed 1T-DRAM crystallized via excimer laser crystallization [18].
Electronics 11 03365 g002
Figure 3. GB trap distribution calibrated using experimental data reported in [15].
Figure 3. GB trap distribution calibrated using experimental data reported in [15].
Electronics 11 03365 g003
Figure 4. (a) Linear (solid symbol) and logarithmic (open symbol) Ids-Vgs,B transfer characteristics for the proposed 1T-DRAM at Vds = 0.5 V. (b) Transient characteristic of the proposed 1T-DRAM.
Figure 4. (a) Linear (solid symbol) and logarithmic (open symbol) Ids-Vgs,B transfer characteristics for the proposed 1T-DRAM at Vds = 0.5 V. (b) Transient characteristic of the proposed 1T-DRAM.
Electronics 11 03365 g004
Figure 5. (a) Simulation profiles of the proposed 1T-DRAM during the program operation showing BTBT rate. (b) Energy band diagram of the storage layer. The energy band is extracted at 2 nm below the top gate oxide.
Figure 5. (a) Simulation profiles of the proposed 1T-DRAM during the program operation showing BTBT rate. (b) Energy band diagram of the storage layer. The energy band is extracted at 2 nm below the top gate oxide.
Electronics 11 03365 g005
Figure 6. (a) Simulation profile of the proposed 1T-DRAM during the hold operation showing hole density. (b) Energy band diagram of the storage layer during the hold operation. The energy band is extracted at 2 nm below the top gate oxide.
Figure 6. (a) Simulation profile of the proposed 1T-DRAM during the hold operation showing hole density. (b) Energy band diagram of the storage layer during the hold operation. The energy band is extracted at 2 nm below the top gate oxide.
Electronics 11 03365 g006
Figure 7. (a) Simulation profile of the proposed 1T-DRAM during the read operation showing electron density. (b) Energy band diagram of the channel layer during the read operation. The energy band is extracted at 2 nm above the bottom gate oxide.
Figure 7. (a) Simulation profile of the proposed 1T-DRAM during the read operation showing electron density. (b) Energy band diagram of the channel layer during the read operation. The energy band is extracted at 2 nm above the bottom gate oxide.
Electronics 11 03365 g007
Figure 8. (a) Simulation profile of the proposed 1T-DRAM with different Lunderlap during hold “1” operation showing hole density. (b) Energy band diagram of the storage layer with different Lunderlap during program operation. The energy band is extracted 2 nm below top gate oxide.
Figure 8. (a) Simulation profile of the proposed 1T-DRAM with different Lunderlap during hold “1” operation showing hole density. (b) Energy band diagram of the storage layer with different Lunderlap during program operation. The energy band is extracted 2 nm below top gate oxide.
Electronics 11 03365 g008
Figure 9. (a) Energy band diagram of the storage layer with different Lunderlap during hold ”1” operation. The energy band is extracted at 2 nm below the top gate oxide. (b) Variations in sensing margin and retention time with Lunderlap of proposed 1T-DRAM.
Figure 9. (a) Energy band diagram of the storage layer with different Lunderlap during hold ”1” operation. The energy band is extracted at 2 nm below the top gate oxide. (b) Variations in sensing margin and retention time with Lunderlap of proposed 1T-DRAM.
Electronics 11 03365 g009
Figure 10. (a) Simulation profile of the 1T-DRAM with different Tsox during hold “1” operation showing SRH recombination. (b) SRH recombination rate of storage layer with different Tsox during the read operation. The energy band is extracted at 2 nm below the top gate oxide.
Figure 10. (a) Simulation profile of the 1T-DRAM with different Tsox during hold “1” operation showing SRH recombination. (b) SRH recombination rate of storage layer with different Tsox during the read operation. The energy band is extracted at 2 nm below the top gate oxide.
Electronics 11 03365 g010
Figure 11. (a) Energy band diagram of the channel layer with different Tsox during the read operation. The energy band is extracted at 2 nm above the bottom gate oxide. (b) Variations in sensing margin and retention time with Tsox of proposed 1T-DRAM.
Figure 11. (a) Energy band diagram of the channel layer with different Tsox during the read operation. The energy band is extracted at 2 nm above the bottom gate oxide. (b) Variations in sensing margin and retention time with Tsox of proposed 1T-DRAM.
Electronics 11 03365 g011
Figure 12. (a) Cross-section depending on the GB location of the proposed 1T-DRAM. (b) Variation of currents in read “1” and read “0” status depending on the GB position.
Figure 12. (a) Cross-section depending on the GB location of the proposed 1T-DRAM. (b) Variation of currents in read “1” and read “0” status depending on the GB position.
Electronics 11 03365 g012
Figure 13. (a) SRH recombination of the hold state with and without GB in ①. The energy band is extracted at the center of the storage region. (b) Energy band diagram of the read operation with and without GB in ②. The energy band is extracted at 2 nm above the bottom gate oxide.
Figure 13. (a) SRH recombination of the hold state with and without GB in ①. The energy band is extracted at the center of the storage region. (b) Energy band diagram of the read operation with and without GB in ②. The energy band is extracted at 2 nm above the bottom gate oxide.
Electronics 11 03365 g013
Table 1. Parameters for the proposed 1T-DRAM.
Table 1. Parameters for the proposed 1T-DRAM.
ParameterValue
Chanel Length (Lch)70 nm
Underlap Length (Lunderlap)0–20 nm
Top Gate Oxide (HfO2) Thickness (Tox,T)8 nm
Channel Layer Thickness (Tch)8 nm
Separation Oxide (SiO2) Thickness (Tsox)1–30 nm
Storage Layer Thickness (Tst)8 nm
Bottom Gate Oxide (SiO2) Thickness (Tox,B)3 nm
n+-Source Doping Concentration1 × 1020 cm−3
n+-Drain Doping Concentration1 × 1020 cm−3
p-Channel Layer Doping Concentration1 × 1016 cm−3
p+-Storage Layer Doping Concentration1 × 1018 cm−3
p+-Poly-Si Bottom gate doping concentration1 × 1018 cm−3
Top Gate Work function5.20 eV
Table 2. Operating bias scheme for memory performance.
Table 2. Operating bias scheme for memory performance.
Write “1”
(Program)
Write “0”
(Erase)
ReadHold
Top Gate Voltage
(Vgs, T)
−3.2 V0.5 V0.0 V−0.3 V
Bottom Gate Voltage
(Vgs, B)
0.0 V0.0 V1.3 V0.0 V
Drain Voltage
(Vds)
3.0 V−0.7 V0.0 V0.0 V
Table 3. Memory performance depending on the GB location of the proposed 1T-DRAM.
Table 3. Memory performance depending on the GB location of the proposed 1T-DRAM.
Sensing Margin
[μA/μm]
Retention Time
[ms]
w/o GB28.58648
GB in ①30.79507
GB in ②11.09484
GB in (① + ②)14.10251
Table 4. Memory performances of various 1T-DRAM reported in the literature.
Table 4. Memory performances of various 1T-DRAM reported in the literature.
NoStructureSensing Margin
[μA/μm]
Retention Time
[ms]
1.Junctionless FinFET-Based 1T-DRAM
(Vertical GB) [22]
11.764.27
2.Junctionless FinFET-Based 1T-DRAM
(Horizontal GB) [22]
11.3148
3.SiGe GAA JLFET-Based 1T-DRAM [18]0.3910
4.Double-gate Si/SiGe
1T-DRAM [23]
6.16131
5.Dopingless
1T-DRAM [24]
0.012170
6.Dual Gate
1T-DRAM (w/GB) [25]
6.58340.1
7.Nanotube
1T-DRAM (w/GB) [26]
422120
8.Ge/GaAs TFET-Based
1T-DRAM [27]
1.11120
9.This study
(w/o GB)
28.58648
10.This study
(w/GB)
14.10251
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Kim, G.U.; Yoon, Y.J.; Seo, J.H.; Lee, S.H.; Park, J.; Kang, G.E.; Heo, J.H.; Jang, J.; Bae, J.-H.; Lee, S.-H.; et al. Design of a Capacitorless DRAM Based on Storage Layer Separated Using Separation Oxide and Polycrystalline Silicon. Electronics 2022, 11, 3365. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11203365

AMA Style

Kim GU, Yoon YJ, Seo JH, Lee SH, Park J, Kang GE, Heo JH, Jang J, Bae J-H, Lee S-H, et al. Design of a Capacitorless DRAM Based on Storage Layer Separated Using Separation Oxide and Polycrystalline Silicon. Electronics. 2022; 11(20):3365. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11203365

Chicago/Turabian Style

Kim, Geon Uk, Young Jun Yoon, Jae Hwa Seo, Sang Ho Lee, Jin Park, Ga Eon Kang, Jun Hyeok Heo, Jaewon Jang, Jin-Hyuk Bae, Sin-Hyung Lee, and et al. 2022. "Design of a Capacitorless DRAM Based on Storage Layer Separated Using Separation Oxide and Polycrystalline Silicon" Electronics 11, no. 20: 3365. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11203365

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop