Next Article in Journal
Cyclic Federated Learning Method Based on Distribution Information Sharing and Knowledge Distillation for Medical Data
Next Article in Special Issue
Design of Cost-Efficient SRAM Cell in Quantum Dot Cellular Automata Technology
Previous Article in Journal
Spectral Data Analysis for Forgery Detection in Official Documents: A Network-Based Approach
Previous Article in Special Issue
A New Energy-Aware Method for Gas Lift Allocation in IoT-Based Industries Using a Chemical Reaction-Based Optimization Algorithm
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A New Nano-Scale and Energy-Optimized Reversible Digital Circuit Based on Quantum Technology

by
Saeid Seyedi
1,2,
Nima Jafari Navimipour
3,* and
Akira Otsuki
4,5,6,*
1
Young Researchers and Elite Club, Urmia Branch, Islamic Azad University, Urmia 57169-63896, Iran
2
Department of Computer Engineering, Tabriz Branch, Islamic Azad University, Tabriz 51579-44533, Iran
3
Future Technology Research Center, National Yunlin University of Science and Technology, Douliou, Yunlin 64002, Taiwan
4
Facultad de Ingeniería y Ciencias, Universidad Adolfo Ibáñez, Diagonal Las Torres 2640, Peñalolén, Santiago 7941169, Chile
5
Waste Science & Technology, Luleå University of Technology, SE 971 87 Luleå, Sweden
6
Neutron Beam Technology Team, RIKEN Center for Advanced Photonics, Wako 351-0198, Japan
*
Authors to whom correspondence should be addressed.
Submission received: 2 October 2022 / Revised: 15 November 2022 / Accepted: 29 November 2022 / Published: 5 December 2022
(This article belongs to the Special Issue Resource Sustainability for Energy and Electronics)

Abstract

:
A nano-scale quantum-dot cellular automaton (QCA) is one of the most promising replacements for CMOS technology. Despite the potential advantages of this technology, QCA circuits are frequently plagued by numerous forms of manufacturing faults (such as a missing cell, extra cell, displacement cell, and rotated cell), making them prone to failure. As a result, in QCA technology, the design of reversible circuits has received much attention. Reversible circuits are resistant to many kinds of faults due to their inherent properties and have the possibility of data reversibility, which is important. Therefore, this research proposes a new reversible gate, followed by a new 3 × 3 reversible gate. The proposed structure does not need rotated cells and only uses one layer, increasing the design’s manufacturability. QCADesigner-E and the Euler method on coherence vector (w/energy) are employed to simulate the proposed structure. The 3 × 3 reversible circuit consists of 21 cells that take up just 0.046 µm2. Compared to the existing QCA-based single-layer reversible circuit, the proposed reversible circuit minimizes cell count, area, and delay. Furthermore, the energy consumption is studied, confirming the optimal energy consumption pattern in the proposed circuit. The proposed reversible 3 × 3 circuit dissipates average energy of 1.36 (eV) and overall energy of 1.49 (eV). Finally, the quantum cost for implementing the reversible circuits indicates a lower value than that of all the other examined circuits.

1. Introduction

Despite the fact that people require the usage of electronic devices based on voltage, there are flaws in available digital networks and circuits based on CMOS technology [1]. Short-channel effects, short-circuit fault, and high lithography costs are a few drawbacks of this technology [2]. Electronic circuit designers try to develop alternatives such as carbon nanotube field-effect transistors, nanowires, hexagonal boron nitride (h-BN), and quantum-dot cellular automaton (QCA) [3,4]. Given the new structure offering unique measurements, QCA can compensate for the drawbacks of CMOS technology [5]. As a result, researchers focus on both hardware and software components to attain efficiency.
Electronic information and signal processing occur on every computer. The QCA processing approach is based on magnetism and uses networks of cooperating sub-micrometer magnetic dots to carry out logic operations and spread information at room temperature. The magnetization direction of the single-domain magnetic dots, which couple to their closest neighbors through magnetostatic interactions, serves as a signal for the logic states [6]. Information is transmitted via the networks by magnetic solitons, and the system’s applied oscillating magnetic field also functions as a source of energy [7]. Compared to existing microelectronic technology, these networks promise an increase in the integration density of several thousand times and a reduction in power dissipation. On the other hand, the majority and inverter gates are at the heart of QCA circuits and directly impact the system’s overall efficiency [8,9]. In addition, circuits in QCA technology can be developed in two types: normal and reversible [1]. Many researchers have used QCA to study reversible logic; this means that improving the performance of reversible gates and circuits can improve the overall system efficiency [10]. Any time-reversible paradigm of computation is referred to as reversible computing. A fundamental criterion for reversibility is that mapping states to their successors must be one-to-one [11]. Quantum circuits are reversible due to quantum physics’ unitarity, as long as the quantum states do not collapse [12]. Reversible circuits have received attention as components of quantum algorithms and in photonic and nano-computing technologies, where specific switching devices provide no signal gain. On the other hand, QCA technology generally offers new objects because the logic value model is based on electron placement in each cell [13,14]. CMOS’s ‘−1’ and ‘1’ logic models are based on low and high voltages, respectively. Overall, input information is lost with irreversible logic, which might enhance power consumption. When this happens, we cannot recover it from the output information. Thus, we must recover data from the main memory. On the other side, a circuit must be made up of reversible gates to avoid energy dissipation. Additionally, reversible logic generates 1-to-1 correspondence between input and output vectors and thus decreases energy dissipation.
As a result, we offer a reversible circuit design approach based on QCA cells in this research. Only the majority gates create the outputs of the proposed reversible circuits. The three main constraints in reversible computing are limiting input constants, employing the smallest number of gates, and minimizing the quantum cost. The QCADesigner-E program was used to model the proposed building. In terms of the number of cells, operations, and quantum cost, it also outperforms prior architectures. In summary, the original contributions of this paper are:
  • Proposing a new reversible block in QCA technology;
  • Simulating the proposed block using the QCADesigner-E tool; and
  • Calculating some parameters, such as the number of constant inputs, garbage outputs, latency, and quantum cost, and comparing to current designs.
This paper contains the following. Section 2 provides background information on QCA technology. Section 3 proposes new designs. Section 4 provides simulation results and compares the proposed designs to other available designs. Finally, Section 5 concludes this paper.

2. Basic Concepts and Related Works

2.1. QCA Cell and Wire

Recently, nano-designs and nano-circuits have received much attention in a wide range of applications. The basic building block of every QCA design is the cells. The logic state of a QCA cell is stored based on the electron location. There are four quantum-dots in each cell [15]. Between the quantum-dots, there is a tunneling junction. Quantum-dots are occupied by electrons tunneling to them [16]. Because of the Coulombic repulsion, these electrons occupy sites in a cell and are diagonal to one another. Figure 1a shows that a QCA cell has two polarization states that correspond to the values ‘0’ and ‘1’. A QCA wire is a collection of cells arranged in the shape of an array [15]. Figure 1b shows how data are transferred between QCA wires owing to the Coulombic interaction between cells.

2.2. Majority and Inverter Gates

The majority gate is the most fundamental gate in QCA. Figure 2a shows the fundamental structure of the majority gate using the QCA cell. Five QCA cells are utilized in a majority gate with three inputs, one output, and one driving cell to create a majority voter function for M (X, Y, Z) = XY + YZ + XZ. By permanently setting the input of one cell to ‘0’ or ‘1’, the majority gate can serve as an AND or an OR gate [17]. One of the other essential gate components of a higher degree of QCA design is the inverter gate. Figure 2b depicts the QCA arrangement of the inverter gate [18]. The inverter is a key component in the majority of logical operations. It signifies the output cell’s polarization, which is the polar opposite of the input cell.

2.3. QCA Clocking

The clock controls the movement of electrons in cells in QCA circuits. The cycle of the clock is classified into four sections:
  • Switch: The cell exits non-polar mode and gradually approaches the input value of the cell before it.
  • Hold: During this phase, the cell’s energy level is high, the cell is active, and it can act as an input for the following cell.
  • Release: The cell’s energy level gradually drops such that it does not affect its neighbors.
  • Relax: The cell loses energy and enters a state of relaxation.

2.4. QCA Faults

A missing cell (Figure 3a), extra cell (Figure 3b), displacement cell (Figure 3c), and rotated cell (Figure 3d) are some of the issues in QCA technology. One or more cells can be eliminated in the case of a missing cell. Multiple cells can be added to the circuit in some circumstances in the case of an extra cell. The situation of a cell being moved from its appropriate position is referred to as cell dislocation. A defect in which a faulty cell is rotated is known as a rotated cell [19].

2.5. Reversible QCA

There are more than a billion computers in use globally, and they consume more energy than ever. The power consumption of several computer components, including memory and the CPU, can be reduced by using reversible functions. A computer process must break the calculation into manageable pieces in order to run. When we have reversible hardware, no result is lost since sometimes each portion needs the previous parts or other process outcomes to finish. Therefore, there is no need to fetch data from the main memory. For instance, middle registers do not need to store the results of previous computations when a CPU based on reversible hardware executes instructions; this reduces a device’s energy usage and overall calculation time. To further assist computer designers, this study presents a novel and incredibly effective way of generating crucial reversible features.

2.6. Related Works

Logical reversibility refers to the ability to compute the output from the input and vice versa. Reversible gates and circuits have the same number of outputs as they simply create the outputs. An inverter gate, for example, is conceptually reversible. Depending on how the inverter gate is implemented, it may or may not be physically reversible. In addition to the inverter gate, many circuits and gates are built for reversible logic, the most important of which are discussed in this section. However, due to the quick access to inputs and outputs, a small number of cells, a small area, and short clock phases are all critical in QCA technology, and it is urgently required to build circuits with these features. In this section, we review some essential papers in this area.
Bagherian Khosroshahy, Abdoli [20] proposed a reversible and fault-tolerant parity-based arithmetic circuit for nano-communications. The nano-communication includes one parity checker gate and one parity generating gate. QCADesigner software was used to simulate their proposed structures. Their structure has only two trash outputs and no rotating cells, and it has only one layer, which enhances the design’s manufacturability.
Seyedi, Otsuki [21] presented an optimized reversible double Feynman gate that employed efficient arithmetic elements as essential structural elements also used in this study. They also employed a basic modeling approach to make it more consistent and noise-resistant. Furthermore, they compared the proposed model to earlier models in terms of complexity, timing, cell count, and latency. They also used QCADesigner to keep track of the suggested gate’s shape and performance. Even though this design is faster and has a low area, it requires many consumed cells.
Sasamal, Singh [22] proposed low-energy and area-efficient QCA-based reversible logic gates. The suggested schematizations were more efficient after incorporating a small two-input XOR gate. They used traditional criteria to assess the efficacy of the proposed schematizations and previous related ones. Simulating the proposed structures was realized with QCADesigner software. This design has a low speed and large cell consumption; however, low cell consumption and high speed are critical in nano-circuit design.
Singh and Sharma [23] proposed a robust reversible gate with enhanced efficiency to perform QCA-based RAM schematization. In the constraints of the traditional RAM architecture, RAM cell schematization using a combination of QCA technology and reversible logic will excel. The suggested 33 reversible gate’s QCA configuration has been schematized with the use of a unique multiplexer circuit. By looking at the proposed circuit more closely, it can be seen that it has a large consumption area and a high number of cells, making it hard to utilize in the other reversible circuits. In addition, the gate’s standard cost function, Boolean functions, and energy loss have all been investigated.
Using QCA technology, Bahar, Waheed [24] developed two new approaches for schematizing the double Feynman gate. With the help of the QCADesigner, these recommended circuits were simulated. These designs were also evaluated in terms of area and complexity (cell count). The utilization of a high number of cells and large consumption area are both clear downsides of these designs.

3. The Proposed Designs in This Study

In a digital system, a reversible function can reduce power consumption in several components such as memory, ALU, and CPU. Data loss in reversible hardware cannot happen. As a result, retrieving data from the main memory is not required, and it reduces the device’s energy usage and total calculation time. This study presents the design of reversible circuits for constructing basic reversible arithmetic parts. Figure 4 shows an ideal QCA schematization for the 3 × 3 reversible circuit, using different cell colors to determine the QCA architecture and QCA hardware implementation of this circuit. The governing Boolean equations for the 3 × 3 reversible circuit are represented by Equations (1)–(3):
P = A
R = (A XOR B)
Q = (A XOR C)
Output P does not require an extra gate in this design. Unlike the previous layouts, the proposed design for the 3 × 3 reversible circuit uses three-input majority gates. In this circuit, the three outputs are P, Q, and R, and the three inputs are A, B, and C. One output is identical to one input in the 3 × 3 reversible circuit, while the other outputs are the XOR function of the inputs. The three inputs can be combined in eight different ways, and the outputs vary depending on the input combinations. The proposed 3 × 3 reversible circuit topology is more productive, with a cell count of 21 cells and a clock delay of 0.25 clock phases.
Additionally, an AND gate was explored for the two inputs A and C and an OR gate was investigated for the two inputs A and B, and the output P was reversed using an inverter gate to demonstrate the expandability of the presented circuit. The output of the AND gate and the output OR gate both output after the 0.25 clock phase. The design and hardware implementation of this circuit are illustrated in Figure 5, and it is evident that this circuit generates the final output following a complete clock phase cycle. Although this approach is executed in one layer, access to inputs and outputs is convenient and simple. Additionally, the proposed tested reversible circuit is more productive, with a cell count of 63 cells and a clock delay of 1 (4/4) clock phase. The governing Boolean equations for the test circuit are represented by Equations (4)–(6):
PP = P’
AB = (A OR B)
AC = (A AND C)

4. Simulation Tools, Parameters, and Results

QCADesigner-E software was used to model the QCA circuits presented in this paper. QCADesigner-E is the most popular software for circuit simulation using QCA technology [25]. This tool simulates the circuits using two simulation engines: ‘Bistable Approximation’ and ‘Coherence Vector’. Both have been used, and the results are nearly identical [25,26,27,28]. Energy in QCA cells is treated as a ‘bath’ of energy in QCADesigner-E or QDE’s energy estimating tool. It is a method for estimating energy based on coordinates. If the energy of a QCA cell is EIO, it is written as EIO = EIN − EOUT, with EIN and EOUT representing the input and output energies, respectively.

Accuracy Analysis and Comparisons

In contrast, Figure 6 shows the simulation results for the total integration of A, B, and C inputs for a 3 × 3 reversible circuit. According to the figure, it can be seen that all possible inputs have been applied to the circuit, and the circuit has produced the correct and appropriate amount of output for all input modes after 0.25 clock phases. Finally, Figure 7 displays the simulation outcomes for the reversible test circuit. The figure shows that all possible inputs have been applied to the circuit, and the circuit has produced the right and appropriate amount of outputs for all input modes. Energy dissipation would not happen if computations were performed reversibly, as the quantity of energy wasted in a system is directly proportional to the number of bits erased during computing, as shown by the outputs and simulation findings. According to the simulation results, reversible circuits do not lose information. Only when the circuit contains reversible gates can the reversible computation be conducted. These circuits may create unique output vectors from each input vector and vice versa, implying that input and output vectors have a one-to-one mapping. Identifying every input or output in these circuits is simple based on its assigned counterpart. Simulation results confirm that the reversible circuits operate well and designate relevant production. All waveforms received from outputs in Figure 6 are produced at the 0.25 clock phase in both circuits. This design is coplanar, and the intended outputs are collected from the coplanar layer.
Researchers use the QCADesigner-E tool in QCA technology to assess energy dissipation. As a result, the proposed reversible circuits’ energy dissipation is calculated using the QCADesigner-E. The average and total energy dissipation of the reversible circuits and previous circuits provided by other researchers in QCA technology, which are formed using typical gates and cells and feature reversible qualities, are depicted in Figure 8. After designing the circuits inside the software and applying all the inputs and specifying all the outputs, the software calculates these items and generates the output values to calculate the average and total energy dissipation. According to the results, it can be seen that the proposed circuits in this study have the lowest value of the average and total energy dissipation (see the bottom of Figure 8).
Table 1 shows the analysis results for the proposed reversible circuit and earlier designs. It compares previous works to the proposed reversible circuit in terms of area, cell count, latency, and quantum cost. Compared to previous designs, the suggested QCA 3 × 3 reversible circuit and reversible odd-parity generator offer considerable improvements in layout complexity (cell count), effective area, and clock latency.

5. Conclusions and Future Work

QCA technology is one of the unique types of nanotechnologies that have replaced CMOS due to its better speed and area capabilities. This research proposed a new and optimal structure for a 3 × 3 reversible circuit. The 3 × 3 reversible circuit generates the Q, R, and P signals after the 0.25 clock cycle. The results were acquired using QCADesigner-E. In QCA, our proposed reversible structure for the 3 × 3 reversible circuit was made up of 21 cells that take up only 0.046 µm2. The coplanar QCA method was used in the described reversible circuit’s construction. As a result, our modern schematizations revealed significant advancements in QCA area, intricacy, and clock postponement in terms of the obtainable layouts completed using distinct clock designs. The proposed circuits outperformed other circuits in terms of area, cell count, latency, and quantum cost. The energy dissipation in circuits has also been calculated; the proposed reversible 3 × 3 circuit dissipates average energy of 1.36 (eV) and 1.49 (eV) overall. The proposed reversible circuits could be used as a future primary block in complex circuits. It can also be used as a stunning building block for larger units in the future to create a reversible circuit. We can use this design to create a 1:n reversible circuit and 4-bit, 8-bit, and 16-bit parity generators in the future. Furthermore, the proposed circuit can organize more complex and high-performance fault-tolerant nano-scale circuits in the future.

Author Contributions

Conceptualization, S.S. and N.J.N.; methodology, S.S., A.O. and N.J.N.; software, S.S. and N.J.N.; validation, S.S., A.O. and N.J.N.; formal analysis, A.O. and N.J.N.; investigation, S.S. and N.J.N.; resources, S.S.; data curation, A.O.; writing—original draft preparation, S.S.; writing—review and editing, A.O. and N.J.N.; visualization, S.S. and N.J.N.; supervision, A.O. and N.J.N.; project administration, A.O. and N.J.N.; funding acquisition, A.O. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

All data are reported in the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Bahar, A.N.; Uddin, M.S.; Abdullah-Al-Shafi, M.; Bhuiyan, M.M.R.; Ahmed, K. Designing efficient QCA even parity generator circuits with power dissipation analysis. Alex. Eng. J. 2018, 57, 2475–2484. [Google Scholar] [CrossRef]
  2. Lieberman, M.; Chellamma, S.; Varughese, B.; Wang, Y.; Lent, C.; Bernstein, G.H.; Snider, G.; Peiris, F.C. Quantum-Dot Cellular Automata at a Molecular Scale. Ann. N. Y. Acad. Sci. 2006, 960, 225–239. [Google Scholar] [CrossRef]
  3. Motoc Ilies, S.; Schinteie, B.; Pop, A.; Negrea, S.; Cretu, C.; Szerb, E.I.; Manea, F. Graphene Quantum Dots and Cu (I) Liquid Crystal for Advanced Electrochemical Detection of Doxorubicine in Aqueous Solutions. Nanomaterials 2021, 11, 2788. [Google Scholar] [CrossRef] [PubMed]
  4. Wang, I.-H.; Hong, P.-Y.; Peng, K.-P.; Lin, H.-C.; George, T.; Li, P.-W. Germanium Quantum-Dot Array with Self-Aligned Electrodes for Quantum Electronic Devices. Nanomaterials 2021, 11, 2743. [Google Scholar] [CrossRef]
  5. Hennessy, K.; Lent, C.S. Clocking of molecular quantum-dot cellular automata. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2001, 19, 1752–1755. [Google Scholar] [CrossRef] [Green Version]
  6. Cowburn, R.; Welland, M. Room temperature magnetic quantum cellular automata. Science 2000, 287, 1466–1468. [Google Scholar] [CrossRef]
  7. Zhou, H.; Xu, C.; Lu, C.; Jiang, X.; Zhang, Z.; Wang, J.; Xiao, X.; Xin, M.; Wang, L. Investigation of transient magnetoelectric response of magnetostrictive/piezoelectric composite applicable for lightning current sensing. Sens. Actuators A Phys. 2021, 329, 112789. [Google Scholar] [CrossRef]
  8. Ahmed, S.; Naz, S.F.; Bhat, S.M. Design of quantum-dot cellular automata technology based cost-efficient polar encoder for nanocommunication systems. Int. J. Commun. Syst. 2020, 33, e4630. [Google Scholar]
  9. Ahmed, S.; Naz, S.F.; Sharma, S.; Ko, S.-B. Design of quantum-dot cellular automata-based communication system using modular N-bit binary to gray and gray to binary converters. Int. J. Commun. Syst. 2021, 34, e4702. [Google Scholar] [CrossRef]
  10. Gao, M.; Wang, J.; Fang, S.; Nan, J.; Daming, L. A New Nano Design for Implementation of a Digital Comparator Based on Quantum-Dot Cellular Automata. Int. J. Theor. Phys. 2021, 60, 2358–2367. [Google Scholar] [CrossRef]
  11. Bahar, A.N.; Billah, M.; Bhuiyan, M.M.R.; Al Shafi, A.; Ahmed, K.; Asaduzzaman, M. Ultra-efficient convolution encoder design in quantum-dot cellular automata with power dissipation analysis. Alex. Eng. J. 2018, 57, 3881–3888. [Google Scholar] [CrossRef]
  12. Bahar, A.N.; Waheed, S.; Hossain, N.; Asaduzzaman, M. A novel 3-input XOR function implementation in quantum dot-cellular automata with energy dissipation analysis. Alex. Eng. J. 2018, 57, 729–738. [Google Scholar] [CrossRef]
  13. Ravindran, R. Design of reversible and non-reversible binary to gray and gray to binary converter using quantum Dot cellular automata. Int. J. Adv. Trends Comput. Sci. Eng. 2020, 9, 3822–3827. [Google Scholar]
  14. Xingjun, L.; Zhiwei, S.; Hongping, C.; Haghighi, M.R.J. A new design of QCA-based nanoscale multiplexer and its usage in communications. Int. J. Commun. Syst. 2019, 33, e4254. [Google Scholar] [CrossRef]
  15. Vahabi, M.; Lyakhov, P.; Bahar, A.N. Design and Implementation of Novel Efficient Full Adder/Subtractor Circuits Based on Quantum-Dot Cellular Automata Technology. Appl. Sci. 2021, 11, 8717. [Google Scholar] [CrossRef]
  16. Kaity, A.; Singh, S. Optimized area efficient quantum dot cellular automata based reversible code converter circuits: Design and energy performance estimation. J. Supercomput. 2021, 77, 11160–11186. [Google Scholar] [CrossRef]
  17. Heikalabad, S.R.; Ahmadi, R.; Salimzadeh, F. Introducing a Full-Adder Structure for Finite Field in QCA. ECS J. Solid State Sci. Technol. 2021, 10, 063006. [Google Scholar] [CrossRef]
  18. Azimi, S.; Angizi, S.; Moaiyeri, M.H. Efficient and Robust SRAM Cell Design Based on Quantum-Dot Cellular Automata. ECS J. Solid State Sci. Technol. 2018, 7, Q38–Q45. [Google Scholar] [CrossRef]
  19. Farazkish, R.; Khodaparast, F. Design and characterization of a new fault-tolerant full-adder for quantum-dot cellular automata. Microprocess. Microsyst. 2015, 39, 426–433. [Google Scholar] [CrossRef]
  20. Khosroshahy, M.B.; Abdoli, A.; Panahi, M.M. Novel Feynman-Based Reversible and Fault-Tolerant Nano-communication Arithmetic Architecture Based on QCA Technology. SN Comput. Sci. 2021, 2, 1–14. [Google Scholar]
  21. Seyedi, S.; Otsuki, A.; Navimipour, N. A New Cost-Efficient Design of a Reversible Gate Based on a Nano-Scale Quantum-Dot Cellular Automata Technology. Electronics 2021, 10, 1806. [Google Scholar] [CrossRef]
  22. Sasamal, T.N.; Singh, A.K.; Ghanekar, U. Toward Efficient Design of Reversible Logic Gates in Quantum-Dot Cellular Automata with Power Dissipation Analysis. Int. J. Theor. Phys. 2018, 57, 1167–1185. [Google Scholar] [CrossRef]
  23. Singh, R.; Sharma, D.K. QCA-Based RAM Design Using a Resilient Reversible Gate with Improved Performance. J. Circuits Syst. Comput. 2020, 29, 2050209. [Google Scholar] [CrossRef]
  24. Bahar, A.N.; Waheed, S.; Uddin, M.A.; Habib, M.A. Double Feynman gate (F2G) in quantum-dot cellular automata (QCA). Int. J. Comput. Sci. Eng. IJCSE 2013, 2, 351–355. [Google Scholar]
  25. Walus, K.; Dysart, T.; Jullien, G.; Budiman, R. QCADesigner: A Rapid Design and Simulation Tool for Quantum-Dot Cellular Automata. IEEE Trans. Nanotechnol. 2004, 3, 26–31. [Google Scholar] [CrossRef]
  26. Chabi, A.M.; Sayedsalehi, S.; Angizi, S.; Navi, K. Efficient QCA exclusive-or and multiplexer circuits based on a nanoelectronic-compatible designing approach. Int. Sch. Res. Not. 2014, 2014, 463967. [Google Scholar] [CrossRef] [PubMed]
  27. Kyosun, K.; Kaijie, W.; Karri, R. Quantum-dot cellular automata design guideline. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 2006, 89, 1607–1614. [Google Scholar]
  28. Walus, K. ATIPS Laboratory QCADesigner Homepage; ATIPS Laboratory, University of Calgary: Calgary, AB, Canada, 2002. [Google Scholar]
  29. Riyaz, S.; Sharma, V.K. Design of reversible Feynman and double Feynman gates in quantum-dot cellular automata nanotechnology. Circuit World 2021. [Google Scholar] [CrossRef]
Figure 1. (a) QCA cell and (b) wire.
Figure 1. (a) QCA cell and (b) wire.
Electronics 11 04038 g001
Figure 2. (a) Majority gate and (b) inverter gate in QCA technology.
Figure 2. (a) Majority gate and (b) inverter gate in QCA technology.
Electronics 11 04038 g002
Figure 3. QCA faults; (a) missing cell, (b) extra cell, (c) dislocation cell, (d) rotated cell.
Figure 3. QCA faults; (a) missing cell, (b) extra cell, (c) dislocation cell, (d) rotated cell.
Electronics 11 04038 g003
Figure 4. (a) The schematic of the proposed 3 × 3 reversible circuit, (b) The QCA layout of the proposed 3 × 3 reversible circuit.
Figure 4. (a) The schematic of the proposed 3 × 3 reversible circuit, (b) The QCA layout of the proposed 3 × 3 reversible circuit.
Electronics 11 04038 g004
Figure 5. (a) The schematic of a reversible test circuit, (b) The QCA layout of a reversible test circuit.
Figure 5. (a) The schematic of a reversible test circuit, (b) The QCA layout of a reversible test circuit.
Electronics 11 04038 g005
Figure 6. The proposed 3 × 3 reversible circuit simulation results.
Figure 6. The proposed 3 × 3 reversible circuit simulation results.
Electronics 11 04038 g006
Figure 7. The proposed reversible test circuit simulation results.
Figure 7. The proposed reversible test circuit simulation results.
Electronics 11 04038 g007
Figure 8. Energy dissipation of the proposed circuit [21,22,23,24,29].
Figure 8. Energy dissipation of the proposed circuit [21,22,23,24,29].
Electronics 11 04038 g008
Table 1. Comparison of the proposed and literature layouts.
Table 1. Comparison of the proposed and literature layouts.
DesignsArea (μm2)CellsDelayQuantum Cost
(Area × Delay2)
3 × 3 reversible circuitProposed layout in this study 0.046   μ m 2 210.250.0025
Seyedi, Otsuki [21] 0.05   μ m 2 460.50.0125
Singh and Sharma [23] 0.05   μ m 2 530.750. 028125
Sasamal, Singh [22] 0.05   μ m 2 400.50.0125
Bahar, Waheed [24] (1) 0.06   μ m 2 510.50.015
Bahar, Waheed [24] (2) 0.09   μ m 2 960.750.050625
Riyaz and Sharma [29] 0.02   μ m 2 270.250.005
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Seyedi, S.; Jafari Navimipour, N.; Otsuki, A. A New Nano-Scale and Energy-Optimized Reversible Digital Circuit Based on Quantum Technology. Electronics 2022, 11, 4038. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11234038

AMA Style

Seyedi S, Jafari Navimipour N, Otsuki A. A New Nano-Scale and Energy-Optimized Reversible Digital Circuit Based on Quantum Technology. Electronics. 2022; 11(23):4038. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11234038

Chicago/Turabian Style

Seyedi, Saeid, Nima Jafari Navimipour, and Akira Otsuki. 2022. "A New Nano-Scale and Energy-Optimized Reversible Digital Circuit Based on Quantum Technology" Electronics 11, no. 23: 4038. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics11234038

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop