Next Article in Journal
Recess-Free E-Mode AlGaN/GaN MIS-HFET with Crystalline PEALD AlN Passivation Process
Next Article in Special Issue
Characterization of Sn-xIn Solders and Thermomigration-Induced Interfacial IMC Growth of Cu/Sn-xIn/Cu Micro Solder Joints
Previous Article in Journal
Design of Parameter-Optimized Spiral Arrays with Ultra-Wideband Grating Lobe Suppression
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Temporary Bonding and Debonding in Advanced Packaging: Recent Progress and Applications

1
Shenzhen Institute of Advanced Electronic Materials, Shenzhen Institute of Advanced Technology, Chinese Academy of Sciences, Shenzhen 518055, China
2
Centre for Photonics Information and Energy Materials, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen 518055, China
*
Authors to whom correspondence should be addressed.
These authors contributed equally to this work.
Submission received: 21 February 2023 / Revised: 25 March 2023 / Accepted: 30 March 2023 / Published: 31 March 2023
(This article belongs to the Special Issue Advanced Electronic Packaging Technology)

Abstract

:
Temporary bonding/debonding (TBDB) technologies have greatly contributed to the reliable fabrication of thin devices. However, the rapid development of large-scale, high-precision and ultra-thin devices in the semiconductor field has also proposed more stringent requirements for TBDB technologies. Here, we deliberate the recent progress of materials for temporary bonding and different debonding technologies over the past decade. Several common debonding methods are described, including thermal slide, wet chemical dissolution, mechanical peeling and laser ablation. We review the current status of different debonding technologies and highlight the applications of TBDB technologies in advanced electronic packaging. Possible solutions are proposed for the challenges and opportunities faced by different TBDB technologies. Ultimately, we attempt to propose an outlook on their future development and more possible applications. We believe that the simple schematics and refined data presented in this review would give readers a deep understanding of TBDB technologies and their vast application scenarios in future advanced electronic packaging.

1. Introduction

In recent years, with the rapid development of 5G, artificial intelligence, Internet of Things, automatic driving and big data, electronic devices are developing towards miniaturization and multi-function. In order to achieve high performance of electronics, advanced package technologies such as fan-out wafer level package (FOWLP) [1,2], 2.5D interposer and 3D package (e.g., through-silicon via, package on package, micro-electro-mechanical system) have been developed [3,4,5,6,7,8,9,10]. In 3D chip stacking, a range of polymer-based adhesives typically bonds the device wafer to the carrier wafer, which is insensitive to the flatness and cleanliness of the device wafer surface. Because of their simplicity, robustness and low cost, adhesives are widely used throughout the microelectronics and micro-electro-mechanical system (MEMS) manufacturing process. These adhesives include polymers such as epoxy resins, dry films, benzocyclobutene (BCB), polyimide and UV-curable compounds [11,12,13,14,15]. They have the advantage of being relatively low temperature and can protect sensitive components. In addition, they can be divided into permanent adhesive bonding and temporary adhesive bonding, depending on whether the wafers are separated again after bonding [16]. The comparison between parament bonding and temporary bonding is listed in Table 1. In contrast to temporary bonding, the adhesives used for permanent bonding generally need to meet low dielectric constants, low water absorption, high bond strength and high stability. Permanent bonding technology helps to reduce the footprint and significantly improve product performance. Permanent bonding technology is mainly used in semiconductor applications such as radio frequency (RF), MEMS, light emitting diodes (LED), time of flight (ToF) devices and especially in emerging sensors such as complementary metal oxide semiconductors (CMOS) [17,18,19].
In contrast to the permanent bonding process, the temporary bonding process was originally developed to hold and protect ultrathin wafers. Since thicker wafers are difficult to meet the heat dissipation and packaging requirements of high-end chips, wafer thinning to the required thickness is often required in the semiconductor field. However, when the thickness of the wafer is reduced to less than 200 μm, the ultra-thin wafers become brittle and prone to warp [20]. Therefore, the semiconductor industry has proposed various temporary bonding/debonding (TBDB) technologies, in which device wafers are temporarily bonded to thicker rigid carriers with appropriate adhesives [21]. Figure 1 shows an overview of the different TBDB technologies for advanced packaging. After these device wafers are thinned, and the back-end fabrication process is completed, the carriers and adhesives need to be removed non-destructively. Therefore, it is crucial to develop various adhesives and corresponding debonding processes that can meet the requirements of TBDB technology. A suitable temporary material should provide sufficient adhesion to the wafer during the thinning process. Moreover, it should be resistant to acids and alkalis and high temperatures in order to remain stable during the backside processes such as curing, grinding, etching, metallization, etc., prior to debonding.
Over the past 20 years, temporary bonding materials have been continuously updated and iterated. Initially, liquid wax was developed by Nikka Seiko for temporary bonding. Inorganic materials (a-Si:H, etc.) and polymers (polyimide, polyether ether ketone (PEEK), polydimethylsiloxane (PDMS), etc.) were also later adopted as temporary bonding materials [22,23,24,25,26]. Moreover, the main difference between these temporary bonding materials is that a corresponding debonding method needs to be developed to meet the non-destructive debonding of ultrathin wafers. Furthermore, the development of advanced temporary bonding materials and debonding processes has been a relentless goal of researchers. The first generation of temporary bonding materials was used for the thermal slide debonding process, such as Space Liquid from Nikka Seiko, HT series materials from Brewer Science [27,28,29,30,31], JSR [32], etc.; as the application scenarios changed, materials and techniques for debonding using solvents (e.g., Zero Newton temporary debonding system from TOK [33,34]), mechanical forces (e.g., BrewerBOND®305) [35] or lasers (e.g., 3M WSS [36], HD-3007 from HDMS [23], BrewerBOND®701 [37,38,39]) to release were developed. Special technologies such as ZoneBOND® [40] and air-jetting were developed [41,42]. In addition, hydrogenated amorphous silicon (a:Si-H) [22,43] and various polymers, such as polyelectrolyte [44], iCVD polyglycidylmethacrylate (PGMA) [45] and polydimethylglutarimide (PMGI) [46], have also been used as temporary bonding materials.
Here, we review the corresponding temporary bonding materials, principles, applications and future trends according to different debonding methods. Finally, the challenges and opportunities faced by TBDB technology are summarized, and beneficial improvement strategies for temporary bonding materials are presented. These improved TBDB technologies pave the way for higher chip yields, more cost-effective semiconductors and alleviation of global chip shortages.

2. Advances in TBDB Technologies

In thermal slide and chemical dissolution temporary bonding processes, only one adhesive is usually required to be spin-coated onto the device wafer or carrier wafer. However, mechanical peel-off and laser ablation temporary bonding processes often also require an accompanying release material to facilitate subsequent easier debonding of the device wafer. The main process of temporary bonding is shown in Figure 2 below. First, the temporary bonding adhesive is spin-coated on the carrier wafer. After removing the solvent by soft baking, the carrier wafer is bonded to the device wafer by thermal compression under vacuum or UV irradiation. Bonding quality is checked using appropriate measures, including but not only an ultrasonic scanning microscope and film thickness meter. The bonded wafer pair is then subjected to a series of backside processes such as back grinding, lithography, etching, passivation, sputtering, electroplating, reflow soldering and dicing processes. Finally, the thinned device wafer is mounted on a platform, and the carrier wafer is peeled off in an adhesive-matched debonding manner. It is worth mentioning that the carrier wafers cleaned with matched cleaning agent can still be recycled to save costs. Currently, commercial debonding technologies mainly include thermal slide debonding, mechanical peeling, chemical dissolution and laser ablation, some of which are shown in Table 2. These four TBDB methods are described in detail below.

2.1. Thermal Slide

Early temporary bonding materials are wax or thermoplastic polymers. These materials have low melting points or glass transition temperatures. When they are heated to a specific temperature, their viscosity decreases and becomes fluid, allowing the wafer to be released with a slight tangential force. This method of debonding is known as thermal slide debonding. Wax was originally developed as a thermal slide debonding material by Nikka Seiko, while later Brewer Science developed the WaferBONDTM HT series of materials for thin wafer handling and TSV processing [47]. The HT series materials can withstand temperatures above 300 °C and be thermal slide-off at about 200 °C. In 2014, Rong Sun et al. developed a kind of temporary bonding adhesive for thermal slides, which exhibits low CTE, good chemical resistance and bonding strength [49]. The adhesive can be used for the wafer backside processing at 220 °C, and the wafer pair can be debonded in a hot slip at 235 °C. Additionally, propylene carbonate (PPC) and polyurethane have been developed as temporary bonding materials for thermal slides. PPC has a low decomposition temperature of about 210 °C, which allows it to depolymerize when heated to an appropriately high temperature, thus facilitating bond pair separation [73]. Li et al. designed a three-dimensional crosslinked polyurethane (3DPU) based on thermal reversible Diels-Alder (DA) chemistry [56]. The reaction products of the DA reaction are unstable at high temperatures. The 3DPU is unstable at high temperatures and undergoes reverse reactions. The adhesives were subjected to high-temperature process simulations such as physical vapor deposition (PVD), plasma-enhanced chemical vapor deposition (PECVD) and reflow processes on 8-inch wafers with good results. The reverse DA reaction occurred at 150 °C with the separation of device wafers thinned to 100 μm by the thermal slide method.
There is no denying that thermal sliding debonding has made a contribution to the advanced packaging of chips as an early TBDB technology. However, the low thermal stability of thermal slide-debonding materials limits wafers to withstand higher temperature processes. High-temperature processes such as PECVD may lead to unpredictable wafer slippage and bonding failure. The effect of temperature processes on bonding pair morphology was investigated by P. Montméat et al. [73]. They used thermoplastic resin to bond wafers and heated them. The results show that when the temperature increases, the TTV of the bonded pair increases, and the adhesive may shrink to the center of the structure to compensate for the lifting of the wafer with thinned edges. Moreover, the thermal slide debonding process requires a certain stress on the wafer pair to guide the separation of bonding pairs. This stress may break the wafer unexpectedly when handling large-size wafers or ultra-thin wafers. The thermal slide debonding process seems to be no longer applicable when large-size wafers or ultra-thin wafers or when the wafer needs to undergo a high-temperature process. It faces the challenges and limitations of wafer breakage and low yield of ultra-thin devices in various semiconductor manufacturing processes. However, due to its low cost, thermal slide debonding is still suitable for debonding small and slightly thick wafers in the field of electronic packaging.

2.2. Chemical Dissolution

Adhesive residues may remain on the wafer after debonding, so it is necessary to soak the wafer in suitable solvents to remove them. Based on the solubility of adhesive in a specific solvent, direct release of the wafer by solution immersion, also known as chemical dissolution, is possible. In addition to stirring, sonication or heating, perforated wafers can also be used to speed up the dissolution of adhesive material by increasing the contact area between the adhesive material and the solvent. Such perforated wafers can be made from blank glass carriers using patterning techniques. If the carrier wafers can withstand such mechanical influences, over 150,000 through holes of the same size can be fabricated to provide smooth and secure debonding. Their TTV is about 1 μm and can be cycled up to 50 times [74]. Deng et al. used a perforated wafer with a hole area (single hole diameter of approximately 800 µm) of 20% for chemical debonding of bonded pairs [50]. They also deduced that for a given perforation ratio, smaller holes could cause an increase in the total perimeter of contact between the solution and the adhesive layer and therefore exhibit higher debonding efficiency. However, when the holes are too small, they lead to an increase in the surface tension of the solution, which prevents the solvent from flowing into the hole. The holes, therefore, have a critical diameter that is related to the surface tension of the solution, the contact angle between the solution and the carrier, the density of the solution and the height of the solution surface to the bottom of the carrier.
Micro Chem developed a lift-off resist (LOR) material (polydimethyl glutarimide) for wafer-to-wafer bonding and demonstrated that the LOR material could be resolved in NMP-based solvents [46]. Thermoset polymers have greater thermal and chemical stability compared to thermoplastic materials. However, thermoset polymers undergo crosslinking of molecular chains during curing, which may lead to low solubility. Deng et al. developed a thermosetting resin as a TBDB material by polycondensation of methyl diamine and aldehydes. Surprisingly, the resin can depolymerize without sacrificing its thermal and chemical stability. It has been demonstrated that the resin can be dissolved in 1M H2SO4 for 10 h [50]. Due to its great thermal stability, transparency and chemical resistance, polyisobutylene (PIB) rubber has been developed for temporary bonding. BASF developed PIB products, Oppanol® 50-N (B50), 100-N (B100) and 150-N (B150). The different molecular weights of these products lead to different viscosities and chemical resistance in solvents [65]. Experiments show that B100 is more tightly distributed and more solid-like at 100–250 °C compared to B50. The PIB material can be dissolved in hexane, which supports the possibility of its application for chemical debonding.
Although debonding with solvents does not leave any residue on the wafer, it consumes a large amount of solvent. Moreover, possible warpage and the use of porous wafers increase the costs. Obviously, these drawbacks greatly hinder the widespread application of chemical dissolution debonding in advanced electronics packaging.

2.3. Mechanical Peel-Off

To solve the problem that thermal slide debonding materials cannot withstand high-temperature processes, the development of mechanical release materials seems to be a good option. The mechanical peel-off method requires coating a release layer on the device wafer and an adhesive layer on the carrier wafer prior to bonding. After the relevant process is completed, it is mounted on a dicing film frame with the device wafer fixed to the bottom. A blade is inserted into the bonded wafer pair to separate the wafer gently. It is important to note that this method requires great care during operation; otherwise, excessive force is likely to cause the wafer to break.
There has been considerable industry collaboration to achieve a more reliable mechanical debonding process. Brewer Science has developed a series of materials. Imec and Brewer Science reported a thinning process of 28 nm FinFET devices with a final thickness of 5 μm on a temporary carrier [75]. The CMOS substrate was bonded to the first carrier with 30 μm thick BrewerBond 305 bonding material and BrewerBond 510 mechanical release layer. After grinding to 50 μm, the substrate was plasma etched to 5 μm and bonded to a second carrier using ZoneBond 5150. Fowler et al. [76] also used BrewerBond 305 to bond wafers, and Wuensch et al. [77] reported their bonding pairs using BrewerBond 305 and BrewerBond 510. These device wafers were all thinned to 50 µm thick. Additionally, BrewerBond materials are also available for 8-inch SOI wafer to SOI wafer, reported by Y.-R. Jeon et al. In another case, bonding with BrewerBond C1301 and advanced mold materials, the 8-inch wafer warps less than 200 μm with less than 2 μm die to carrier mismatch after exposure at 200 °C for 2 h [78]. In addition, to simplify the mechanical peel-off process, Brewer Science developed single-layer adhesive material [71], which showed good thermal stability and can support the wafer to be thinned to 50 μm or 20 μm, but with some edge chipping.
Additionally, Fujifim also developed a monolayer material that combines releasing and bonding. TGA results showed that the material decomposes at 360 °C with 0.5% [60]. A via-middle integration flow with a 50 μm high Cu pillar has been demonstrated [51,79]. The adhesive is about 30 μm thick, while the wafer is thinned to 50 μm with a total thickness variation (TTV) value of about 2 μm in this progress. The adhesive was later applied in embedded Wafer Level Ball Grid Array (eWLB) devices. The 8-inch eWLB wafers were bonded using adhesive (thickness of approximately 20.45 μm) with a TTV value of 5.8 μm. The wafer is thinned from 585 μm to 50 μm and is mechanically debonded by Süss MicroTech DB12T Debonder. Optical microscope scanning and solder ball shear test prove that the debonding process does not harm the device wafer [60]. In addition, Toray developed a room-temperature mechanical debonding material for handling thin wafers [80]. For a target thickness of 20 μm, the TTV of the adhesive layer was 3 to 5 μm, while the average TTV of the bonded pairs was about 2.7 μm after the bonding process. After being back ground to 30 μm thickness, the device wafer is mechanically debonded. J. Bertheau et al. proposed a method for the wafer-to-wafer bonding process [81]. A temporary bonding agent from Fujifilm was used to bond 300 mm wafers. After mechanical grinding, chemical mechanical planarization (CMP) and dry etching, the wafer was thinned to 5.6 μm with an average TTV value of 2.5 μm. A damascene process, including dielectric layers deposition, lithography, TaN/Cu seed layer deposition, copper electroplating and CMP, has been executed on the wafer. It is then permanently bonded to another substrate wafer and mechanically debonded by a Suss DB12T debonding at room temperature. In addition, Li et al. developed mechanical debonding material with high performance [82]. The TTV of this film is only 0.13 μm. After curing, thinning and CMP process, the device wafer could be reduced to 70 μm with no defects.
In order to avoid damage to the wafer caused by inserting the blade, it is necessary to develop new mechanical debonding methods. The air jetting debonding uses airflow instead of blades to separate device wafers from carrier wafers. The process, AirDebond™ and Z-coat series material were first reported by Hao Tang from Micro Materials Inc. (MMI) in 2016 [41] and was developed for wafers with flat surfaces, high topography or bumps. The process was applied to FOWLP containing the processing of RDL passivation layer, sputtering Ti/Cu metal layer and wafer level molding [42]. Z-coat 211, a polyimide-based adhesive, is adopted in this process, which shows low peel adhesion on silicon and glass, high thermostability, chemical resistivity, good shear strength and thermal stability. After air jetting releasing by a semi-automatic wafer debonder Z-D200 (MMI), the wafer was cleaned by Z-Clean 830.
Mechanical peel-off debonding is obviously a simple and convenient way to release wafers, which frees them from extra thermal history. Since the temporary bonding material usually has the proper adhesion to support the manufacturing process, inserting the blade places additional mechanical stress on the device. Such mechanical forces cannot be ignored, especially when dealing with large or ultra-thin wafers, as this increases the risk of their breakage. It can be seen that the mechanical lift-off method is only suitable for peeling off small-sized or thicker wafer bonding pairs. Therefore, it is important to explore new debonding methods that are friendly to large-scale ultra-thin wafers in order to increase the yield of ultra-thin devices.

2.4. Laser Ablation

The mechanical peel-off and thermal slide process inevitably generate mechanical stress during the removal of the rigid carrier, which is difficult to meet the requirement of releasing ultra-thin wafers (even several micrometers) for ultra-sophisticated chips. Considering the scalability of TBDB technologies in future high-density heterogeneous integration, bonding materials in the heterogeneous integration must be compatible with high temperature and chemical-resistant process conditions, which makes a thermal slide and wet chemical dissolution incompatible with the TBDB technologies. In addition, these traditional methods are still limited by the disadvantages of easy damage, low yield and low throughput in large-scale applications. Laser debonding technology has the advantages of high energy input efficiency, low device damage and flexible operation, which is easier to meet the needs of low-loss, high-efficiency and large-scale manufacturing. As a TBDB technology with broad application prospects, the process flow of laser debonding technology is generally as follows. First, a laser release layer is formed on the carrier wafer, and an adhesive layer is formed on the device wafer. Significantly, the laser release layer needs to possess high absorbance at a specific wavelength band. Moreover, it is also necessary to use rigid wafers (e.g., glass, sapphire and silicon carbide) through which the laser beam can pass as carriers so that most of the transmitted photon energy can be directionally deposited on the release layer.
Currently, the commonly used laser wavelengths range from ultraviolet (254 nm, 308 nm, 355 nm) to infrared (1064 nm) [68,70,72,83]. According to previous reports in the literature, the principles of laser ablation of materials mainly include the photothermal effect or photochemical effect. The photochemical effect refers to the decomposition reaction in which the molecules of the material absorb photon energy and are excited to the electronically excited state, which eventually leads to the direct breaking of chemical bonds. The formula for calculating photon energy is E = hc/λ, where E is the photon energy, h is Planck’s constant, c is the speed of light, and λ is the wavelength. According to the above formula, the energy of the 1064 nm infrared photon is about 1.165 eV, which is lower than the bond energy of most chemical bonds such as C-C, C-O and C-N. Therefore, the ablation of the release material under the action of an infrared laser is mainly dominated by the photothermal effect. Additionally, 3M’s light-to-heat conversion (LTHC) materials adding carbon black has a high absorption coefficient in the infrared, so they can be released using an infrared laser. Montmeat, P. et al. studied the 300 mm wafer progress based on 3M™ Wafer Support System [84]. The LTHC release layer was applied to a glass wafer, and LC5200 3M™ UV-cured polymer was used as the adhesive. Similarly, Z. Ye et al. reported an infrared release layer material (WLP LB310) from Samcien Semiconductor Materials [72]. The material has better thermal stability (T1% = 406 °C and T5% = 523 °C) compared to similar products and can be cured at low temperatures (180 °C). Moreover, the addition of nano-materials improved the adhesion of glass. Due to the large heat-affected zone created when heating the release layer, thermal damage to the wafer is possible. In contrast, due to the low penetration depth and strong photochemical effect, the UV laser can greatly suppress the thermal effect during the lift-off process. K. Kennes1 et al. investigated the factors that may cause damage during UV laser debonding [85,86]. They found that no damage was caused by UV or thermal effects. However, the acoustic shock wave generated during the ablation of the laser-released layer may lead to damage of die, especially during the debonding of ultra-thin devices. Fortunately, this damage can be avoided by introducing a separation layer (acoustic layer) between the laser release layer and the die interface on the die prior to die singulation.
However, it is still controversial whether UV laser ablation of materials is dominated by photothermal effects, photochemical effects, or a combination of both. Undoubtedly, the gas generated by the decomposition of materials during laser ablation is conducive to the separation of materials from substrates. In addition, laser-release materials also need to meet good thermal stability and photosensitivity. This has guided the selection, design and synthesis of laser-release materials. For example, amorphous silicon hydride (a-Si:H) has been developed as a laser-release material due to its high-temperature resistance (500~700 °C) and decomposition gas generation, which could be debonded at 308 nm or 355 nm [22,43,54]. Recently, Wang et al. deposited 50 nm thick a-Si:H as a release layer on a glass wafer and SiO2 as a barrier layer by PECVD. The glass wafer was then bonded and can be debonded by 355 nm laser irradiation [87]. However, the high cost of preparing silicon hydride by plasma-enhanced chemical vapor deposition limits its market application. In contrast, photopolymers with a certain viscosity have attracted more attention in the field of advanced packaging. Hasegawa et al. from JSR developed a kind of aromatic polymer with a hydroxyl group as an adhesive material and adopted a thermosetting polymer with UV absorption units as release material [55]. By setting different thicknesses of the release material, high laser absorption in the 308 nm or 355 nm band can be satisfied. Zhang et al. from Samcien Semiconductor Materials reported the 8-inch bonding process using WLP LB202 as release material and WLP TB1202 as adhesive material [57]. Under the irradiation of a 308 nm laser, the automatic separation of wafer bonding pairs can be achieved. In another case, they reported the 8-inch bonding process using WLP LB210 as release material and WLP TB4130 as an adhesive material, while the wafer pair could the debonded by 355 nm laser [61]. Liu et al. reported that two released materials could be decomposed under UV laser irradiation in the 308 nm, 343 nm or 355 nm band for FOWLP [63,88]. In order to improve the utility of temporary bonding materials, the development of advanced multifunctional temporary bonding materials with comprehensive heterogeneous properties has always been the current pursuit goal. The photopolymers with low Tg properties developed by Zhang et al. can be bonded or laminated on different substrates at moderate and low temperatures [62,63]. Then, after thermal or UV curing, the desired mechanical stability and chemical resistance can be achieved in subsequent high-temperature and vacuum processes. Finally, due to their high sensitivity to UV laser light, they can be debonded by laser ablation.
In order to simplify the process, the current trend is to develop materials that combine functions of bonding and releasing or to produce dry film or tape products. Furthermore, 3M developed a single and triple-layer temporary bonding tape [64,70]. These two types of tapes are oriented to different application scenarios. The single-layer product was applied in the RDL-first procedure, and the triple-layer product was applied in RDL-last [64]. Improved triple-layer tape was applied in bonding 300 mm and 600 mm square panels and glass carriers [70]. Both tapes can be laser debonded at 308 nm, 355 nm or 1064 nm. Additionally, Liu et al. developed two monolayer materials that respond to 308 nm and 355 nm, respectively [67]. Moreover, one of the materials can be thermally cured to protect the bond line and can be wet cleaned after the whole process. Recently, to exhibit high reliability when withstanding the backside processes in harsh circumstances, Dai et al. adjusted the flexible chain segment structure to skillfully adjust the glass transition temperature and bonding temperature of thermoplastic material SLAs [89]. It has excellent 355 nm responsiveness and high-temperature resistance compared to other thermoplastic materials. In addition, Shiojima et al. from Sekisui Chemical developed a self-releasing tape called SELFA [68]. SELFA has a sandwich structure of a self-releasing adhesive layer, a base film and an easy-peeling adhesive layer. After lamination on the wafer, the chemical and thermal resistance of the tape can be enhanced under 405 nm UV irradiation. The N2 by-products produced by the tape ablated by a 355 nm laser can assist in the separation of wafer bonding pairs. The improved tape was developed for hybrid bonding, which has greater thermal stability, tensile modulus and other properties [90]. The wafers bonded by this adhesive were thinned from 725 μm to 25 μm, and the TTV value was only 3 μm. Furthermore, Shin-Etsu developed siloxane-based crosslinked polymers [69]. These thermosetting polymers possess rigid aromatic ring structures and photoactive groups, which enable them to have great thermal stability (>300 °C) and laser responsiveness. The material exhibits good responsiveness at 355 nm wavelength, and the improved material LRL-2 can be cleaned with a special solvent after debonding. More excitingly, to solve the problem of the high cost of laser equipment and improve processing efficiency, Wang et al. propose a kind of photopolymer release material UDP801. UDP801 shows a good response to 365 nm and no defects after baking in an oxygen oven at 200 °C. Interestingly, it releases gas upon irradiation with a 365 nm UV lamp, which helps to separate bonding pairs and reduce the cost of laser debonding [91].
Regarding laser debonding equipment, famous suppliers include XBS300 temporary bonding equipment and LD12 debonding equipment developed by SUSS from Germany, EVG850 series temporary bonding equipment and debonding equipment developed by EVG from Austria, and DSI-S-DB661 series fully automatic laser debonding equipment developed by Han’s Laser. The DFL7560L from DISCO is a fully automatic laser lift-off machine capable of handling 6-inch wafers. The layers of material in the substrate can be debonded from the substrate by laser irradiation. The unique optics developed allow processing at optimum power over a wide focal range, thus reducing wafer damage and separation. In addition, the surface roughness after sapphire separation is extremely low. By using diode-pumped solid-state lasers (DPSS), not only high process speeds and high process quality wafer stripping are achieved, but also maintenance times are significantly reduced compared to gas lasers. Due to the spiral processing of the beam motion, excessive acceleration and deceleration are avoided, which further enables efficient separation of the devices. In addition, due to the low peak energy fluctuations of the single-pulse laser, this enables stable processing and high throughput for many extremely small chips, including micro LEDs.
The following four problems remain with current laser debonding technologies: (1) Glass substrates are difficult to process with semiconductor devices designed primarily around silicon and require expensive upgrades to enable the processing of glass wafers. (2) Organic adhesives are typically limited to processing temperatures below 300 °C, which limits their use in back-end processing. (3) Silicon carriers must be completely removed using grinding, polishing and etching processes, which results in micron range variations across the working device layer surface, making this method unsuitable for thin layer stacks at advanced nodes. (4) The thickness of organic temporary bonding adhesives is typically in the micron range, requiring thinner response layers for more accurate (nanoscale) layer transfer. In order to address these issues, EVG’s NanoCleave technology uses an infrared laser to be absorbed through the silicon wafer by an inorganic release layer pre-positioned in the silicon stack, resulting in the silicon being cleaved in a predefined, precisely defined layer or region. The ability to use inorganic release layers allows for the use of more precise, thinner release layers (in the range of a few nanometers, compared to a few microns for organic adhesives). In addition, the inorganic release layer is compatible with high-temperature processing (up to 1000 °C), enabling many new front-end applications to transfer layers where they are not compatible with organic adhesives. In summary, silicon carriers with inorganic release layers avoid the problem of incompatibility with glass carriers at high temperatures. In addition, the nanoscale accuracy of infrared laser triggering opens up the possibility of handling ultra-thin device wafers without changing the recording process. The subsequent stacking of such thin device layers enables higher bandwidth interconnects and opens up new opportunities for chip design and segmentation for next-generation high-performance systems. The infrared laser cutting technology developed by EVG is suitable for 2.5D FOWLP, where the device layers are generally prepared by depositing and growing inorganic materials, resulting in high-temperature resistance. However, this method of depositing inorganic materials cannot be applied to wafer devices with grooves and raised structures because they cannot be made thicker.

2.5. Comparison

After almost two decades of development, a variety of interesting temporary bonding techniques and materials have been continuously developed. In terms of bonding times, this is largely dependent on the properties of the material. Compared to thermoplastic materials, thermoset materials have a shorter bonding time. As is shown in Table 3, different debonding technologies have their own characteristics, which could be well utilized in different applications. Thermal slide debonding releases the wafer by applying a slip force to the wafer at a specific high temperature, which means that the wafer necessarily suffers an additional risk of chipping caused by mechanical stress. Chemical debonding uses chemical solvents to dissolve the adhesive directly. During this process, the wafers are virtually unaffected by stress and chipping due to uniform dissolution. Although this debonding process requires a special perforated carrier plate to speed up the dissolution rate, the process still takes a long time and a large amount of solvent. Although the mechanical peel-off process can be performed at room temperature, there is still a high risk of chipping during wafer separation using a blade. In contrast, using the laser debonding process enables the wafer to be released by the laser at low force and short time, which meets the requirements of wafer lift-off for high-density, large-size and ultra-thin devices. It is undeniable that the high cost of laser debonding equipment is still an urgent problem to be solved. In terms of debonding time, the laser method currently offers the fastest debonding speed, typically in excess of 30 wafers per hour. Moreover, the evolution of debonding processes also puts forward new requirements on TBDB materials, such as better thermal stability and chemical resistance, which makes thermal sliding and wet-chemical dissolution methods potentially obsolete. At present, mechanical lift-off and laser debonding methods still occupy a large market share. With the development of wafer-level packaging towards large-sized ultra-thin wafers, it is urgent to develop multifunctional TBDB materials with high-temperature resistance, chemical resistance and certain viscosity. Second, the intrinsic relationship between the TBDB material and the debonding process needs to be established. For laser debonding, there is a lack of systematic research on the interaction mechanism between laser and released materials in the bulk ablation mode. In addition, exploring new debonding processes is of great significance for further promoting efficient, high-precision and high-reliability scalable TBDB processes.

3. Applications in Advanced Packaging

3.1. Fan-Out Wafer Level Packaging

FOWLP processes such as eWLB and integrated fan-out (InFO) allow heterogeneous system integration and shorten the interconnection of each chip [92]. Moreover, they can reduce the size of the package and improve the integrity of the power supply and signal [93]. As shown in Figure 3, two methods are widely utilized in FOWLP flow: chip-first and chip-last, referring to the point in the process when chips are placed on the substrate [94].
In the chip-first process, a known good device (KGD) is first connected to the substrate through removable adhesive tape. Then it is filled with epoxy resin and separated from the adhesive tape. Finally, RDL and solder balls are formed on the back. Although the cost of this process is low, it cannot be determined whether the RDL is effectively formed, so there is a large KGD loss. In the chip-last process, RDL is first performed on the release layer, and then KGD with high precision is formed. This advanced method can effectively reduce the loss of KGD. Imec is developing a novel 300 mm FOWLP to achieve 20 pitch density, combining logic die, flash memory and DRAM. During the process, the die temporary bonds twice to be manufactured and transferred, which greatly increases the machinability and accuracy [95]. The first debonding process uses mechanical peel-off, while the second debonding process can select a thermal slide, chemical dissolution or laser ablation.

3.2. TSV and Cu-Cu Bonding

Composed of multiple vertically bonded and interconnected thin chips, 3D integrated circuits (3D-IC) have great advantages in performance, size, density and heterogeneous integration. Through hole via (TSV), as a key loop in 3D encapsulation, it reduces the distance of signal transmission and the encapsulation volume through vertical connection [96]. The production of TSV can be integrated into different stages of the process. Years of development have made the via-middle and via-last processes more mature. The TSV is etched on the wafer after manufacturing a complementary metal oxide semiconductor (CMOS) but before the back end of the line (BEOL) during the via-middle process. The via-last process forms TSV from the backside of the chip after the device wafer is fabricated. In the 3D integrated circuit manufacturing process, TBDB technologies can effectively maintain the stability of the chip during etching, electroplating and CMP. However, these harsh semiconductor process conditions also bring challenges to the chemical stability of TBDB materials (Figure 4). Cooperating with Brewer Science, Imec has also developed a few generations of 300 mm via-middle and via-last processes [96].
Although the temporary bonding process facilitates the manufacture of TSVs, the temporary bonding adhesive may enter the through-holes during processing and cause cross-contamination. Therefore, after debonding, the device wafers need to be cleaned with solvent. The megasonic cleaning was also recommended by Brewer Science for its reduced cycle time and solvent consumption [97]. They also improved the cleaning process of BrewerBond 305. Experiments have shown that faster cleaning can be achieved using UHP d-limonene and HPC fan jet pressure, which shows the possibility of cleaning silicon wafers containing silicon-etched structures [98]. In addition, they recommend plasma cleaning of the silicon wafer surface to remove adhesive residues completely.
In 3D-ICs, the connection between chips or between chips and wafers is usually realized by Cu-Cu bonding. As shown in Figure 4, by aligning the TSV in a high-temperature and high-pressure environment, copper atoms can move and form grains at the interface to realize the connection. This is a precise process as the diameter of TSV is usually only a few tens of microns. The dislocation or incomplete connection of the TSV leads to the degradation of chip performance or loss of function. Sekisui reported their temporary adhesive tape that could withstand over 300 °C, which is available for Cu-Cu bonding [90]. The glass-adhesive-wafer stack was heated on a hot plate at 300 °C for 30 min, and no delamination was observed after heating. By temporarily bonding the chips to be processed on different carriers, aligning and joining the chips can effectively improve the processing accuracy and reduce the scrap rate.

3.3. Two-Dimensional Material Transfer

Two-dimensional materials such as graphene, transition metal dichalcogenides (TMDs), hexagonal boron nitride (h-BN) and black phosphorus (BP) have shown great properties, such as high carrier mobility, which brings them good potential in future high-performance chips. However, as the most widely used method for manufacturing two-dimensional materials, chemical vapor deposition (CVD) usually needs ultra-high manufacturing temperature, which greatly exceeds the maximum temperature that materials can withstand in electronic manufacturing. One solution is to reduce the temperature of the CVD process, but it may lead to a potential reduction in material quality [99]. As illustrated by Figure 5, another approach is to grow 2D materials on other substrates, then transfer them to target substrates or devices, which is compatible with electronics manufacturing. Recently, Qian et al. reported a case using a low molecular weight polyvinyl acetate (PVAc) with good solubility as a temporary adhesive and carrier transfer [100]. The 2D material grown on the copper foil surface was covered by a spin-coating PVAc solution. The bonded pair was then soaked in a solution of 0.1 M of ammonium persulfate for 10 h to etch the Cu foil. The cleaned PVAc/graphene block was later transferred onto a target substrate, and the PVAc was dissolved in acetone. Compared with the previous transfer using PMMA, PVA or other materials, graphene/h-BN transferred by PVAc presents better surface quality and higher charge mobility. A. Phommahaxay et al. also reported the application of the laser debonding process in WS2 2D material transfer [101]. After WS2 has been grown on the substrate, it is temporarily bonded to the glass carrier. It is then mechanically separated from the originally grown substrate and bonded to the target substrate. Finally, the glass carrier was peeled off by laser ablation of the adhesive layer.
In the past decade, various TBDB technologies have been widely used in wafer thinning and electronic packaging. At the same time, a potential application for two-dimensional material transfer has also been developed. We believe the temporary bonding debonding process will become more and more important in higher-density manufacturing in the future. Due to the different wafer sizes and production requirements, the temporary bonding materials should be matched with different debonding methods, which, at the same time, require materials with a better-matched CTE and higher thermal resistance.

4. Conclusions

With the vigorous development of optoelectronic devices today, TBDB technology has not only become one of the core processes in the field of advanced electronic packaging but also provides and creates new manufacturing methods for highly integrated, ultra-thin and miniaturized devices. Considering that TBDB technology is crucial to improve the yield and productivity of ultra-thin device manufacturing, further improvements in efficiency and quality of TBDB technologies would directly affect the market competitiveness of advanced packaging. Therefore, the development of new temporary bonding materials and corresponding new TBDB processes to break through the critical technical issues faced in high-end chip thinning processes would directly contribute to the rapid development of advanced packaging. This mainly provides system solutions for ultra-thin wafer processing and handling, ultra-thin device manufacturing and 3D stacked packaging. In addition, technological breakthroughs in related industries will greatly change people’s lifestyles and promote the development of social structures and lifestyles in a more intelligent direction. In conclusion, the development of high-performance temporary bonding key materials and new high-efficiency and high-quality debonding processes will be a productive process. We believe that the further improvement of TBDB technologies is of great significance for promoting the rapid development of smart mobile terminals, IOT, AI and 5G.

Author Contributions

Z.M. contributed to the investigation and writing—original draft. F.W. contributed to the supervision, writing—review and editing. Q.L., C.Y. and R.S. contributed to the interpretation and discussion of results. J.L., G.Z. and W.L. contributed to the resources, supervision and funding acquisition. All authors have read and agreed to the published version of the manuscript.

Funding

This work was financially supported by the National Natural Science Foundation of China (61904191, 62174170, 52005289), Key R&D Project of Guangdong Province (2020B010180001), Shenzhen Basic Research (JCYJ20210324115406019), Key Laboratory of Guangdong Province (2014B030301014) and National Key R&D Project from Minister of Science and Technology of China (2017ZX02519).

Data Availability Statement

The data presented in this study are available on request from the corresponding authors.

Conflicts of Interest

The authors declare no competing financial interests.

References

  1. Wang, C.T.; Tang, T.C.; Lin, C.W.; Hsu, C.W.; Hsieh, J.S.; Tsai, C.H.; Wu, K.C.; Pu, H.P.; Yu, D. InFO_AiP Technology for High Performance and Compact 5G Millimeter Wave System Integration. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; pp. 202–207. [Google Scholar]
  2. Nishimura, I.; Yamagami, M.; Oji, H.; Hayashi, T. Rdl-First Fowlp For Low-Density Applications With New Concept Fowlp Technology. In Proceedings of the 2020 International Wafer Level Packaging Conference (IWLPC), San Jose, CA, USA, 13–30 October 2020; pp. 1–6. [Google Scholar]
  3. Luo, R.; Wang, S.; Xia, Y.; Ma, S.; Wang, W.; Chen, J.; Jin, Y. Fabrication and Characterization of a Low Parasitic Capacitance and Low-Stress Si Interposer for 2.5-D Integration. IEEE Trans. Semicond. Manuf. 2018, 31, 521–527. [Google Scholar] [CrossRef]
  4. Lee, D.; Yoon, S.; Jun, J.; Park, J.; Lee, T.; Kang, U.B.; Lee, J. Improving flip chip process for large 2.5D molded interposer. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 1026–1030. [Google Scholar]
  5. Rahim, M.K.; England, L.; Wang, Y.; Yu, D.; Wang, T. Simulation and Low Cost Process Development of Thin Wafer Level TSV Last Integration Scheme for RF Applications. In Proceedings of the 2018 19th International Conference on Electronic Packaging Technology (ICEPT), Shanghai, China, 8–11 August 2018; pp. 716–721. [Google Scholar]
  6. Wang, M.; Ma, S.; Cai, H.; Hu, L.; He, S. Design, Fabrication and Test of Dual Redundant TSV Interconnection for Millimeter Wave Applications. In Proceedings of the 2019 20th International Conference on Electronic Packaging Technology(ICEPT), Hong Kong, China, 12–15 August 2019; pp. 1–4. [Google Scholar]
  7. Marcinkevich, K.R.; Lunkov, P.V.; Kirienko, D.A.; Putrolaynen, V.V.; Belyaev, M.A.; Yartsev, A.V. Design, fabrication and testing of the package-on-package microcircuit. IOP Conf. Ser. Mater. Sci. Eng. 2021, 1155, 012096. [Google Scholar] [CrossRef]
  8. Pan, P.C.; Hsieh, T.L.; Huang, C.Y.; Jhong, M.F.; Wang, C.C. Design and electrical analysis for adavanced fan-out package-on-package. In Proceedings of the 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC), Singapore, 6–9 December 2017; pp. 1–4. [Google Scholar]
  9. Su, J.; Niekiel, F.; Fichtner, S.; Thormaehlen, L.; Kirchhof, C.; Meyners, D.; Quandt, E.; Wagner, B.; Lofink, F. AlScN-based MEMS magnetoelectric sensor. Appl. Phys. Lett. 2020, 117, 132903. [Google Scholar] [CrossRef]
  10. Asri, M.I.A.; Hasan, M.N.; Fuaad, M.R.A.; Yunos, Y.M.; Ali, M.S.M. MEMS Gas Sensors: A Review. IEEE Sens. J. 2021, 21, 18381–18397. [Google Scholar] [CrossRef]
  11. Lin, S.L.; Huang, W.C.; Ko, C.T.; Chen, K.N. BCB-to-oxide bonding technology for 3D integration. Microelectron. Reliab. 2012, 52, 352–355. [Google Scholar] [CrossRef]
  12. Liu, J.; Liu, X.; Chen, B.; Feng, Z.; Qin, J.; Wu, M.; Chen, L.; Chen, X.; Liang, L. High-performance naphthalene epoxy resins cured by catalyst for packaging materials. Mater. Today Commun. 2022, 33, 104483. [Google Scholar] [CrossRef]
  13. Chen, L.-T.; Cheng, W.-H. Wafer-level chip scale packaging for piezoresistive pressure sensors using a dry-film shielding approach. Sens. Actuators A Phys. 2009, 152, 261–266. [Google Scholar] [CrossRef]
  14. Zhong, A.; Li, J.; Zhang, Y.; Zhang, F.; Wang, T.; Zhang, G.; Sun, R.; Wong, C.-P. Low temperature microwave fabrication of three-dimensional graphene/polyimide foams with flexibility strain responsivity. Compos. Part A-Appl. Sci. Manuf. 2020, 137, 105995. [Google Scholar] [CrossRef]
  15. Calvez, I.; Davoudi, S.; Szczepanski, C.R.; Landry, V. Low-gloss UV-curable coatings: Light mechanisms, formulations and processes—A review. Prog. Org. Coat. 2022, 171, 107039. [Google Scholar] [CrossRef]
  16. Ishida, H.; Lutter, S. Permanent Wafer Bonding and Temporary Wafer Bonding/De-Bonding Technology Using Temperature Resistant Polymers. J. Photopolym. Sci. Technol. 2014, 27, 173–176. [Google Scholar] [CrossRef] [Green Version]
  17. Huesgen, T.; Lenk, G.; Albrecht, B.; Vulto, P.; Lemke, T.; Woias, P. Optimization and characterization of wafer-level adhesive bonding with patterned dry-film photoresist for 3D MEMS integration. Sens. Actuat. A-Phys. 2010, 162, 137–144. [Google Scholar] [CrossRef]
  18. Shih, Y.-C.; Kim, G.; You, J.-P.; Shi, F.G. Printable optically transparent adhesive processing for bonding of LED chips to packages. Mater. Sci. Semicon. Proc. 2016, 56, 155–159. [Google Scholar] [CrossRef]
  19. Chen, G.; Ma, M.; Liu, Z.; Wei, A.; Zavabeti, A.; Hu, X.; Zhang, F.; Wang, B.; Mitchell, A.; Li, Y. Anodic bondable Li-Na-Al-B-Si-O glass-ceramics for Si—ULTCC heterogeneous integration. J. Eur. Ceram. Soc. 2019, 39, 2419–2426. [Google Scholar] [CrossRef]
  20. Landesberger, C.; Klink, G.; Schwinn, G.; Aschenbrenner, R. New dicing and thinning concept improves mechanical reliability of ultra thin silicon. In Proceedings of the Proceedings International Symposium on Advanced Packaging Materials Processes, Properties and Interfaces (IEEE Cat. No.01TH8562), Braselton, GA, USA, 11–14 March 2001; pp. 92–97. [Google Scholar]
  21. Puligadda, R.; Pilialamarri, S.; Hong, W.; Brubaker, C.; Wimplinger, M.; Pargfrieder, S. High-performance temporary adhesives for wafer bonding applications. Mater. Res. Soc. Symp. Proc. 2006, 970, 239–256. [Google Scholar] [CrossRef] [Green Version]
  22. Hashiguchi, H.; Fukushima, T.; Kino, H.; Lee, K.W.; Tanaka, T.; Koyanagi, M. A New Temporary Bonding Technology with Spin-on Glass and Hydrogenated Amorphous Si for 3D LSIs. In Proceedings of the 2014 International Conference on Electronics Packaging (ICEP), Toyama, Japan, 23–25 April 2014; pp. 74–77. [Google Scholar]
  23. Itabashi, T.; Zussman, M.P. High temperature resistant bonding solutions enabling thin wafer processing (Characterization of polyimide base temporary bonding adhesive for thinned wafer handling). In Proceedings of the 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010; pp. 1877–1880. [Google Scholar]
  24. Fritz, N.; Dao, H.; Allen, S.A.B.; Kohl, P.A. Polycarbonates as temporary adhesives. Int. J. Adhes. Adhes. 2012, 38, 45–49. [Google Scholar] [CrossRef]
  25. Rohwer, L.E.S.; Martin, J.E.; Friedmann, T.A.; Heck, J. Laser ablation of polyetheretherketone films for reversible wafer bonding. J. Laser Appl. 2012, 24, 022003. [Google Scholar] [CrossRef]
  26. Li, X.; Chen, P.; Qin, F.; Dong, X.; Xu, Q. Bionic PDMS-CDs surface with thermal controllable adhesion. Mater. Lett. 2020, 263, 127267. [Google Scholar] [CrossRef]
  27. Jouve, A.; Fowler, S.; Privett, M.; Puligadda, R.; Henry, D.; Astier, A.; Brun, J.; Zussy, M.; Sillon, N.; Burggraf, J.; et al. Facilitating Ultrathin Wafer Handling for TSV Processing. In Proceedings of the 2008 10th Electronics Packaging Technology Conference (EPTC), Singapore, 9–12 December 2008; pp. 45–50. [Google Scholar]
  28. Kettner, P.; Kim, B.; Pargfrieder, S.; Zhu, S. New Technologies for advanced high density 3D packaging by using TSV process. In Proceedings of the 2008 International Conference on Electronic Packaging Technology & High Density Packaging, Shanghai, China, 28–31 July 2008; pp. 43–45. [Google Scholar]
  29. Charbonnier, J.; Cheramy, S.; Henry, D.; Astier, A.; Brun, J.; Sillon, N.; Jouve, A.; Fowler, S.; Privett, M.; Puligadda, R.; et al. Integration of a Temporary Carrier in a TSV Process Flow. In Proceedings of the 2009 59th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2009; pp. 865–871. [Google Scholar]
  30. Pargfrieder, S.; Kettner, P.; Privett, M.; Ting, J. Temporary Bonding and DeBonding Enabling TSV Formation and 3D Integration for Ultra-thin Wafers. In Proceedings of the 2008 10th Electronics Packaging Technology Conference, Singapore, 9–12 December 2008; pp. 1301–1305. [Google Scholar]
  31. Pargfrieder, S.; Kim, B. Temporary bonding/debonding for ultrathin substrates. Solid State Technol. 2008, 51, 60–65. [Google Scholar]
  32. Mori, T.; Yamaguchi, T.; Maruyama, Y.; Hasegawa, K.; Kusumoto, S. Material Development for 3D Wafer Bond and De-bonding Process. In Proceedings of the Elec Comp C, San Diego, CA, USA, 26–29 May 2015; pp. 899–905. [Google Scholar]
  33. Tamura, K.; Nakada, K.; Taneichi, N.; Andry, P.; Knickerbocker, J.; Rosenthal, C. Novel Adhesive Development for CMOS-Compatible Thin Wafer Handling. In Proceedings of the 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010; pp. 1239–1244. [Google Scholar]
  34. Kubo, A.; Tamura, K.; Imai, H.; Yoshioka, T.; Oya, S.; Otaka, S. Development of New Concept Thermoplastic Temporary Adhesive for 3D-IC Integration. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 899–905. [Google Scholar]
  35. Jourdain, A.; Phommahaxay, A.; Velenis, D.; Guerrero, A.; Bai, D.; Yess, K.; Arnold, K.; Miller, A.; Rebibis, K.; Beyer, G.; et al. Single-release-layer Process for Temporary Bonding Applications in the 3D Integration Area. In Proceedings of the Elec Comp C, San Diego, CA, USA, 26–29 May 2015; pp. 893–898. [Google Scholar]
  36. Webb, R. Temporary bonding enables new processes requiring ultra-thin wafers. Solid State Technol. 2010, 53, 10–12. [Google Scholar]
  37. Lee, A.; Su, J.; McCutcheon, J.; Wang, B.K.; Tsai, L.; Shorey, A. Optimization of Temporary Bonding through High-Resolution Metrologies to Realize Ultrathin Wafer Handling. In Proceedings of the 2012 IEEE 14th Electronics Packaging Technology Conference (EPTC), Singapore, 5–7 December 2012; pp. 322–325. [Google Scholar]
  38. Tsai, L.; Wang, B.K.; Shorey, A.; Lee, A.; Su, J.; Huang, B.; Shen, W.-W.; Chang, H.-H.; Chien, C.H. Laminating thin glass onto glass carrier to eliminate grinding and bonding process for glass interposer. In Proceedings of the 2014 IEEE 16th Electronics Packaging Technology Conference (EPTC), Singapore, 3–5 December 2014; pp. 545–548. [Google Scholar]
  39. Phommahaxay, A.; Potoms, G.; Verbinnen, G.; Sleeckx, E.; Beyer, G.; Beyne, E.; Guerrero, A.; Bai, D.; Liu, X.; Yess, K.; et al. Extremely Low-Force Debonding of Thinned CMOS Substrate by Laser Release of a Temporary Bonding Material. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 1685–1690. [Google Scholar]
  40. Lee, A.; Su, J.; Huang, B.; Bai, D.; Shen, W.-W.; Chang, H.-H.; Chiang, C.-W. A Low-Temperature Temporary Lamination and Laser Debonding Technology to Enable Cost-Effective Fabrication of a Through-Glass-Via (TGV) Interposer on a Panel Substrate. In Proceedings of the 2015 IEEE 17th Electronics Packaging and Technology Conference (EPTC), Singapore, 2–4 December 2015; pp. 1–5. [Google Scholar]
  41. Tang, H.; Luo, C.; Yin, M.; Zeng, Y.; Zhang, W. High Throughput Air Jetting Wafer Debonding for 3D IC and MEMS Manufacturing. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 1678–1684. [Google Scholar]
  42. Tang, H.; Shi, G.; He, R.; Yin, M.; Zhang, W.; Nguyen, M.; Chang, H.-H.; Yang, S.-S. High Throughput Low Stress Air Jetting Carrier Release for RDL-First Fan-Out Wafer-Level-Packaging. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1748–1754. [Google Scholar]
  43. Hashiguchi, H.; Fukushima, T.; Noriki, A.; Kino, H.; Lee, K.W.; Tanaka, T.; Koyanagi, M. Temporary Spin-on Glass Bonding Technologies for Via-Last/Backside-Via 3D Integration Using Multichip Self-Assembly. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 856–861. [Google Scholar]
  44. Eichler, M.; Dillmann, H.; Reim, L.C.; Thomas, M.; Klages, C.P. Temporary Wafer Bonding by Polyelectrolyte Interlayers. ECS Trans. 2014, 64, 179–186. [Google Scholar] [CrossRef]
  45. Jeevendrakumar, V.J.B.; Pascual, D.N.; Bergkvist, M. Wafer Scale Solventless Adhesive Bonding with iCVD Polyglycidylmethacrylate: Effects of Bonding Parameters on Adhesion Energies. Adv. Mater. Interfaces 2015, 2, 1500076. [Google Scholar] [CrossRef]
  46. Matsumae, T.; Koehler, A.D.; Greenlee, J.D.; Anderson, T.J.; Baumgart, H.; Jernigan, G.G.; Hobart, K.D.; Kub, F.J. Temporary Bonding with Polydimethylglutarimide Based Lift Off Resist as a Layer Transfer Platform. ECS J. Solid State Sci. Technol. 2015, 4, 190–194. [Google Scholar] [CrossRef] [Green Version]
  47. Pargfrieder, S.; Burggraf, J.; Burgstaller, D.; Privett, M.; Jouve, A.; Henry, D.; Sillon, N. 3D integration with TSV: Temporary bonding and debonding. Solid State Technol. 2009, 52, 38–42. [Google Scholar]
  48. Kettner, P.; Burggraf, J.; Kim, B. Thin Wafer Handling and Processing- Results Achieved and Upcoming Tasks in the Field of 3D and TSV. In Proceedings of the 2009 11th Electronics Packaging Technology Conference, Singapore, 9–11 December 2009; pp. 787–789. [Google Scholar]
  49. Shuai, X.T.; Sun, R.; Zhang, G.P.; Deng, L.B. A Novel Temporary Adhesive for Thin Wafer Handling. In Proceedings of the 2014 15th International Conference on Electronic Packaging Technology (ICEPT), Chengdu, China, 12–15 August 2014; pp. 256–261. [Google Scholar]
  50. Deng, L.; Fang, H.; Shuai, X.; Zhang, G.; Wong, C.P.; Sun, R. Preparation of Reversible Thermosets and their Application in Temporary Adhesive for Thin Wafer Handling. In Proceedings of the Elec Comp C, San Diego, CA, USA, 26–29 May 2015; pp. 1197–1201. [Google Scholar]
  51. Phommahaxay, A.; Nakamura, A.; Jourdain, A.; Verbinnen, G.; Kamochi, Y.; Koyama, I.; Iwai, Y.; Sawano, M.; Tan, S.; Miller, A.; et al. Demonstration of a Novel Low Cost Single Material Temporary Bond Solution for High Topography Substrates based on a Mechanical Wafer Debonding and Innovative Adhesive Removal. In Proceedings of the Elec Comp C, San Diego, CA, USA, 26–29 May 2015; pp. 1430–1435. [Google Scholar]
  52. Zhu, Z.; Du, H.; Guan, Y.; Wang, H.; Yu, M.; Jin, Y.; Zhang, Z. Temporary Bonding/debonding Based on Propylene Carbonate. In Proceedings of the 2015 16th International Conference on Electronic Packaging Technology (ICEPT), Changsha, China, 11–14 August 2015; pp. 158–160. [Google Scholar]
  53. Zoschke, K.; Wegner, M.; Fischer, T.; Lang, K.-D. Temporary Handling Technology by Polyimide based Adhesive Bonding and Laser assisted De-bonding. In Proceedings of the 2016 6th Electronic System-Integration Technology Conference (ESTC), Grenoble, France, 13–15 September 2016; pp. 1–6. [Google Scholar]
  54. Cheng, C.-A.; Huang, Y.-H.; Lin, C.-H.; Lee, C.-L.; Yang, S.-C.; Chen, K.-N. Feasibility Investigation of Amorphous Silicon as Release Layer in Temporary Bonding for 3-D Integration and FOWLP Scheme. IEEE J. Electron. Devices Soc. 2017, 5, 136–140. [Google Scholar] [CrossRef]
  55. Hasegawa, K.; Mori, T.; Mizuno, H.; Ishii, H.; Maruyama, Y.; Ohkita, K. Laser releasable temporary bond/de-bond materials for next 3D packages. In Proceedings of the 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA, 6–9 February 2017; pp. 1–7. [Google Scholar]
  56. Li, J.; Liu, Q.; Zhang, G.; Zhao, B.; Sun, R.; Wong, C.-P. Thermally Reversible and Crosslinked Polyurethane Based on Diels-Alder Chemistry for Ultrathin Wafer Temporary Bonding at Low-Temperature. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 746–751. [Google Scholar]
  57. Xia, J.; Zhang, G. The effect of curing process on laser releasable de-bonding temporary material for 3D packages. In Proceedings of the 2017 18th International Conference on Electronic Packaging Technology (ICEPT), Harbin, China, 16–19 August 2017; pp. 1533–1536. [Google Scholar]
  58. Xue, X.; Yang, S.; Wang, Z. Heat-Depolymerizable Polypropylene Carbonate as a Temporary Bonding Adhesive for Fabrication of Flexible Silicon Sensor Chips. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 7, 1751–1758. [Google Scholar] [CrossRef]
  59. Zhu, Z.; Xu, Z. PPC-based bilayer temporary bonding and debonding. Microelectron. Eng. 2017, 180, 5–7. [Google Scholar] [CrossRef]
  60. Masuda, S.; Iwai, Y.; Sawano, M.; Okabe, K.; Shimada, K.; Caparas, J.A.; Choi, W.K. Temporary Bonding Material Study for Room Temperature Mechanical Debonding with eWLB Wafer Application. In Proceedings of the 2018 20th IEEE Electronics Packaging Technology Conference (EPTC), Singapore, 4–7 December 2018; pp. 500–503. [Google Scholar]
  61. Zhang, G.; Xia, J.; Liu, Q.; Huang, M.; Chen, W.; Sun, R.; Wong, C. Laser de-bonding solution for ultra-thin flexible device processing. J. Text. Res. 2018, 39, 155–159. [Google Scholar] [CrossRef]
  62. Zhang, H.; Liu, X.; Rickard, S.; Puligadda, R.; Flaim, T. Novel Temporary Adhesive Materials for RDL-First Fan-Out Wafer-Level Packaging. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; pp. 1931–1936. [Google Scholar]
  63. Liu, X.; Bai, D.; Kirchner, L.; Puligadda, R.; Flaim, T. Advanced Multifunctional Temporary Bonding Materials with Heterogeneous Integrated Properties for Various Advanced Packaging Applications. In Proceedings of the 2019 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 18–19 March 2019; pp. 1–3. [Google Scholar]
  64. Yang, Y.S.; Hwang, K.S.; Gorrell, R. Laser Releasable Temporary Bonding Film with High Thermal Stability. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; pp. 330–333. [Google Scholar]
  65. Zigmond, J.; Gray, A.; Moore, J. Chemical and Temperature Resistance of PIB-Based Temporary Adhesives. In Proceedings of the IEEE 21st Electronics Packaging Technology Conference (EPTC), Singapore, 4–6 December 2019; pp. 217–223. [Google Scholar]
  66. Araki, N.; Maetani, S.; Kim, Y.; Hirota, T.; Nakamura, T.; Ohba, T. Material Optimization of Permanent and Temporary Adhesives for Wafer-level Three-dimensional Integration. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 56–61. [Google Scholar]
  67. Liu, X.; Kirchner, L.; Prenger, L.; Cheng, W.; Puligadda, R. A Single-Layer Solution with Laser Debonding Technology for Temporary Bond/Debonding Applications in Wafer-Level Packaging. In Proceedings of the 2020 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 26 June–17 July 2020; pp. 1–4. [Google Scholar]
  68. Shiojima, T.; Watanabe, R.; Hatai, M.; Sugita, D. Development of Self-releasing adhesive tape as a temporary bonding material for 3D integration. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 75–82. [Google Scholar]
  69. Xu, D.; Wang, H.-W.; Patel, J.; Brun, X.F.; Hirota, K.; Capsuto, E.; Kato, H.; Sugo, M. A Novel Design of Temporary Bond Debond Adhesive Technology for Wafer-Level Assembly. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 68–74. [Google Scholar]
  70. Hwang, K.; Kim, K.-S.; Gorrell, R.; Kim, K.-w.; Yang, Y.-s.; Zou, W. Laser Releasable Temporary Bonding Film for Fanout Process with Large Panel. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 754–761. [Google Scholar]
  71. Liu, X.; Wang, Y.; Blumenshine, D.; Dong, M.; Puligadda, R. A Single-Layer Mechanical Debonding Adhesive for Advanced Wafer-Level Packaging. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 711–716. [Google Scholar]
  72. Ye, Z.; Sun, D.; Huang, M.; Zhang, G.; Xia, J. An Infrared Laser Temporary Bonding Material Used for Device Wafer Thinning and Completion of Backside Processing Technology. In Proceedings of the 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), Xiamen, China, 14–17 September 2021; pp. 1–4. [Google Scholar]
  73. Montmeat, P.; Le Cocq, M.; Enot, T.; Zussy, M.; Fournel, F. Impact of the temperature process on the morphology of 3D temporary bonded wafers: Quantification and reducing of the effect. Mater. Sci. Semicon. Proc. 2021, 136, 106156. [Google Scholar] [CrossRef]
  74. Zussman, M.P.; Milasincic, C.; Rardin, A.; Kirk, S.; Itabashi, T. Using Permanent and Temporary Polyimide Adhesives in 3D-TSV Processing to Avoid Thin Wafer Handling. J. Microelectron. Electron. Packag. 2010, 7, 214–219. [Google Scholar] [CrossRef]
  75. Phommahaxay, A.; Jourdain, A.; Potoms, G.; Verbinnen, G.; Sleeckx, E.; Beyer, G.; Beyne, E.; Guerrero, A.; Bai, D.; Yess, K.; et al. Advances in Thin Wafer Debonding and Ultrathin 28-nm FinFET Substrate Transfer. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 740–745. [Google Scholar]
  76. Fowler, M.; Massey, J.P.; Trichur, R.K.; Koch, M. Dual-Carrier Process Using Mechanical and Laser Release Technologies for Advanced Wafer-Level Packaging. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; pp. 1214–1219. [Google Scholar]
  77. Wuensch, D.; Purwin, L.; Buettner, L.; Martinka, R.; Schubert, I.; Junghans, R.; Baum, M.; Wiemer, M.; Otto, T. Temporary wafer bonding—Key technology for MEMS devices. In Proceedings of the 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA, 6–9 February 2017; pp. 1–7. [Google Scholar]
  78. Podpod, A.; Phommahaxay, A.; Bex, P.; Kennes, K.; Bertheau, J.; Arumugam, H.; Cochet, T.; Rebibis, K.; Sleeckx, E.; Miller, A.; et al. Novel Temporary Bonding and Debonding Solutions Enabling an Ultrahigh Interonnect Density Fo-Wlp Structure Assembly with Quasi-Zero Die Shift. In Proceedings of the 2019 International Wafer Level Packaging Conference (IWLPC), San Jose, CA, USA, 22–24 October 2019; pp. 1–6. [Google Scholar]
  79. Phommahaxay, A.; Potoms, G.; Bertheau, J.; Bex, P.; Duval, F.; Podpod, A.; Wang, T.; Verbinnen, G.; Beyer, G.; Sleeckx, E.; et al. A Unique Temporary Bond Solution Based on a Polymeric Material Tacky at Room Temperature and Highly Thermally Resistant Application Extension from 3D-SIC to FO-WLP. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1829–1834. [Google Scholar]
  80. Sekhar, V.N.; Ji, H.; Arimoto, S.; Okazawa, T.; Fujiwara, T.; Kawano, M. Evaluation of Single Layer Adhesive Material for Thin Wafer Handling Applications. In Proceedings of the 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC), Singapore, 6–9 December 2017; pp. 1–4. [Google Scholar]
  81. Bertheau, J.; Inoue, F.; Phommahaxay, A.; Peng, l.; Iacovo, S.; Rassoul, N.; Sleeckx, E.; Rebibs, K.; Miller, A.; Beyer, G.; et al. Extreme Thinned-Wafer Bonding Using Low Temperature Curable Polyimide for Advanced Wafer Level Integrations. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; pp. 86–91. [Google Scholar]
  82. Li, K.; Bai, Y.; Liu, Q.; Li, J.; Li, Z.; Dai, W.; Wang, X.; Zhang, G. A Novel High Temperature Resistant Temporary Bonding Material for Ultra-thin Wafer Handling: Superior Room Temperature Bonding, Heat Curing and Mechanical De-bonding Performances. In Proceedings of the 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), Dalian, China, 10–13 August 2022; pp. 1–4. [Google Scholar]
  83. Kim, Y.; Park, S.; Kim, B.-K.; Park, W.-J.; Kim, H.J. Laser lift-off of polyimide thin-film from glass carrier using DPSS laser pulses of top-hat square profiles. Opt. Laser. Technol. 2021, 142, 107245. [Google Scholar] [CrossRef]
  84. Montmeat, P.; Enot, T.; Dutra, M.D.M.; Pellat, M.; Fournel, F. Study of a silicon/glass bonded structure with a UV-curable adhesive for temporary bonding applications. Microelectron. Eng. 2017, 173, 13–21. [Google Scholar] [CrossRef]
  85. Kennes, K.; Phommahaxay, A.; Guerrero, A.; Bumueller, D.; Suhard, S.; Bex, P.; Tussing, S.; Liu, X.; Beyer, G.; Beyne, E. Acoustic modulation during laser debonding of collective hybrid bonded dies. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 2126–2133. [Google Scholar]
  86. Kennes, K.; Phommahaxay, A.; Guerrero, A.; Suhard, S.; Bex, P.; Brems, S.; Liu, X.; Tussing, S.; Beyer, G.; Beyne, E. Carrier Systems for Collective Die-to-Wafer Bonding. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; pp. 2058–2063. [Google Scholar]
  87. Wang, F.; Liu, Q.; Wang, X.; Li, J.; Zhang, G.; Sun, R. Nondestructive Laser Debonding of Designable Responsive and Buffer Layers for Wafer Level Packaging. In Proceedings of the 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), Dalian, China, 10–13 August 2022; pp. 1–4. [Google Scholar]
  88. Bai, D.; Liu, X.; Zhang, H.; Wu, Q.; Trichur, R.; Puligadda, R.; Flaim, T. Laser release technology for wafer level packaging. In Proceedings of the 2018 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 11–12 March 2018; pp. 1–3. [Google Scholar]
  89. Dai, W.; Liu, Q.; Li, Z.; Li, K.; Wang, X.; Wang, F.; Li, J.; Sun, R.; Zhang, G. A Novel Single-Layer High-Temperature-Resistant Adhesive Layer Applied in Advanced Wafer-Level Packaging. In Proceedings of the 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), Dalian, China, 10–13 August 2022; pp. 1–4. [Google Scholar]
  90. Daido, I.; Watanabe, R.; Takahashi, T.; Hatai, M. Development of a Temporary Bonding Tape Having over 300 degC Thermal Resistance for Cu-Cu Direct Bonding. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 693–699. [Google Scholar]
  91. Wang, X.; Liu, Q.; Wang, F.; Li, J.; Li, Z.; Dai, W.; Huang, M.; Li, K.; Bai, Y.; Zhang, G.; et al. Temporary bonding system with photopolymer release layer for 365nm UV debonding without laser. In Proceedings of the 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), Dalian, China, 10–13 August 2022; pp. 1–5. [Google Scholar]
  92. Tseng, C.F.; Liu, C.S.; Wu, C.H.; Yu, D. InFO (Wafer Level Integrated Fan-Out) Technology. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 1–6. [Google Scholar]
  93. Ji, Y.; Wang, C.; Li, Y. Development, Challenges and Opportunities of Fan-out Packaging. Electron. Packag. 2020, 20, 080101. [Google Scholar] [CrossRef]
  94. Wu, Q.; Liu, X.; Han, K.; Bai, D.; Flaim, T. Temporary Bonding and Debonding Technologies for Fan-Out Wafer-Level Packaging. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 890–895. [Google Scholar]
  95. Podpod, A.; Phommahaxay, A.; Bex, P.; Slabbekoorn, J.; Bertheau, J.; Salahoueldhadj, A.; Sleeckx, E.; Miller, A.; Beyer, G.; Beyne, E.; et al. Advances in Temporary Carrier Technology for High-Density Fan-Out Device Build-up. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; pp. 340–345. [Google Scholar]
  96. Phommahaxay, A.; Podpod, A.; Slabbekoorn, J.; Sleeckx, E.; Beyer, G.; Beyne, E.; Guerrero, A.; Bai, D.; Arnold, K. Advances in Temporary Bonding and Release Technology for Ultrathin Substrate Processing and High-Density Fan-Out Device Build-up. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; pp. 985–992. [Google Scholar]
  97. Thermal Slide Debonding For Temporary Bonding Processes (Part 3 Of 3). Available online: https://cn.brewerscience.com/bid-95825-thermal-slide-debonding-for-temporary-bonding-processes-part-3-of-3/# (accessed on 14 March 2023).
  98. Tyler, P.; Nulman, K.; Mauer, L.; Fowler, M.; Molenhour, S. Temporary Bonding and the Challenge of Cleaning Post-Debond. In Proceedings of the 2018 International Wafer Level Packaging Conference (IWLPC), San Jose, CA, USA, 23–25 October 2018; pp. 1–7. [Google Scholar]
  99. Medina, H.; Lin, Y.-C.; Jin, C.; Lu, C.-C.; Yeh, C.-H.; Huang, K.-P.; Suenaga, K.; Robertson, J.; Chiu, P.-W. Metal-Free Growth of Nanographene on Silicon Oxides for Transparent Conducting Applications. Adv. Funct. Mater. 2012, 22, 2123–2128. [Google Scholar] [CrossRef]
  100. Qian, Y.; Sohn, M.K.; Park, H.J.; Hwang, J.S.; Subramanian, K.R.V.; Kang, D.J. Universal 2D material film transfer using a novel low molecular weight polyvinyl acetate. Appl. Surf. Sci. 2020, 534, 147650. [Google Scholar] [CrossRef]
  101. Phommahaxay, A.; Kennes, K.; Podpod, A.; Brems, S.; Slabbekoorn, J.; Sleeckx, E.; Huyghebaert, C.; Asselberghs, I.; Miller, A.; Beyer, G.; et al. The Growing Application Field of Laser Debonding: From Advanced Packaging to Future Nanoelectronics. In Proceedings of the 2019 International Wafer Level Packaging Conference (IWLPC), San Jose, CA, USA, 22–24 October 2019; pp. 1–8. [Google Scholar]
Figure 1. An overview of the different TBDB technologies for advanced packaging.
Figure 1. An overview of the different TBDB technologies for advanced packaging.
Electronics 12 01666 g001
Figure 2. Main flow of temporary bonding/debonding. F represents possible external force assisting debonding.
Figure 2. Main flow of temporary bonding/debonding. F represents possible external force assisting debonding.
Electronics 12 01666 g002
Figure 3. Two typical RDL processes in FOWLP: chip-first process flows; (a) chip-last process flows (b).
Figure 3. Two typical RDL processes in FOWLP: chip-first process flows; (a) chip-last process flows (b).
Electronics 12 01666 g003
Figure 4. Three manufacturing processes of TSV: via-middle (a); via-last (b); Cu-Cu bonding (c).
Figure 4. Three manufacturing processes of TSV: via-middle (a); via-last (b); Cu-Cu bonding (c).
Electronics 12 01666 g004
Figure 5. Two-dimensional layer transfer flow with TBDB technology.
Figure 5. Two-dimensional layer transfer flow with TBDB technology.
Electronics 12 01666 g005
Table 1. Comparison of permanent adhesive bonding and temporary adhesive bonding.
Table 1. Comparison of permanent adhesive bonding and temporary adhesive bonding.
TypesPermanent Adhesive Bonding ProcessTemporary Adhesive Bonding Process
AdhesivesEpoxy resins, Dry films, BCB, Polyimide, UV-curable compoundsPolyimide, UV-curable compounds, polyetheretherketone (PEEK), Polypropylenecarbonate (PPC), polyglycidylmethacrylate (PGMA), Polyisobutene (PIB)
Features
  • Low dielectric constant
  • Low water absorption
  • High bond strength and high stability
  • No requirements for dielectric constant and water absorption
  • Relatively low bonding properties
Applications
  • Radio Frequency (RF)
  • Micro-Electro-Mechanical System (MEMS)
  • Light Emitting Diodes (LED)
  • Complementary Metal Oxide Semiconductors (CMOS)
  • Time of Flight (ToF)
  • Fan-out wafer-level packaging
  • TSV and Cu-Cu bonding
  • 2D material transfer
Table 2. Summary of properties of various temporary bonding materials.
Table 2. Summary of properties of various temporary bonding materials.
NameSupplierTypeTgTdBonding ParametersDebonding MethodDebonding ParametersRef.
HD-3007HDMSPolyimide/540 °C 1%/Laser308 nm/248 nm[23]
HT seriesBrewer Science////Thermal slide180 °C[47]
HT seriesBrewer Science////Thermal slide200 °C[48]
Zero NewtonTOK//300 °C <1.5%220 °CChemical [33]
//a:H Si+SOG///Laser308 nm
0.3 mm/s
100 mJ/cm2
[22]
//a:H Si+SOG//200 °C 50N/chipLaser308 nm[43]
///180 °C//Thermal slide235 °C[49]
///>250 °C300 °C <5%/ChemicalH2SO4 for 10 h[50]
//Polyimide180–190 °C//ChemicalNMP[46]
/Fujifilm ///Mechanical/[51]
/Empower Materials Inc.Propylene Carbonate40 °C210 °C 5%150 °C for 20 minThermal slide/[52]
Z-Coat 150Micro Materials Inc.Polyamic acid/350 °C <1%75 °C + 150 °C for 10 min, 250 °C for 20 minAir Jetting/[41]
Z-Coat 211Micro Materials Inc.Polyimide /300 °C <0.3%75 °C + 150 °C for 1 min, 200 °C for 5 minAir Jetting/[42]
HDMs 3000HDMPolyimide //250–350 °C for 8–14 min, 0.6 MpaLaser248 nm
650 mJ/cm2
[53]
a:H Si+HD-3007////210 °CLaser355 nm
196 mJ/cm2
[54]
/JSR//>350 °C 1%/Laser308 nm 355 nm[55]
//PU/>325 °C 5%/Thermal slide185 °C for 10 min220 °C for 2 h
260 °C for 30 min
[56]
WLP LB202Samcien///185 °C for 10 minLaser308 nm[57]
/Empower MaterialsPolypropylene carbonate 25–45 °C/>120 °CThermal260 °C for 1.5 h[58]
/Empower MaterialsPPC-PAG///Laser/[59]
/Fujifilm//0.5% 360 °C15 kN vac 200 °C for 300 sMechanical/[60]
WLP LB210Samcien//597 °C < 5%/Laser308 nm or 355 nm[61]
/Brewer Science/80.3 °C357 °C 2%210 °C, 2000 N for 3 minLaser308 nm or 343 nm or 355 nm [62,63]
/Brewer Science/50.7 °C 210 °C, 2000 N for 3 minLaser343 nm or 355 nm[62]
/3M////Laser1024 nm,
0.4 mm × 20 W
[64]
Oppanol®BASF SEPolyisobutylene ///ChemicalDodecene[65]
DTB-TP005/////Mechanical/Thermal slidemechanical peeling at 80 kPa or over 200 °C[66]
/Brewer Science/101.6 °C334 °C 2%/Laser248, 308, 343, 355nm[67]
/Brewer Science/25 °C370 °C 2%/Laser308 nm or 355 nm with 275 mJ/cm2 and 4 W energy[67]
SELFA tapeSEKISUI CHEMICAL CO.,LTD.Acrylic///Laser254 nm[68]
/Shin-Etsu ChemicalPolysiloxanes///Laser355 nm[69]
/3M////Mechanical/[70]
/Brewer Science/30.7 °C320 °C/Mechanical/[71]
LB310Samcien//406 °C 1%, 523 °C 5%/Laser1064 nm[72]
Table 3. Comparison of different TBDB technologies.
Table 3. Comparison of different TBDB technologies.
Debonding ProcessThermal SlideChemical DissolutionMechanical Peel-OffLaser Ablation
Process temperatureHigh temperatureRoom temperatureRoom temperatureRoom temperature
StressLowMediumMediumLow
CostLowMediumLowHigh
SpeedMediumLowMediumFast
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Mo, Z.; Wang, F.; Li, J.; Liu, Q.; Zhang, G.; Li, W.; Yang, C.; Sun, R. Temporary Bonding and Debonding in Advanced Packaging: Recent Progress and Applications. Electronics 2023, 12, 1666. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics12071666

AMA Style

Mo Z, Wang F, Li J, Liu Q, Zhang G, Li W, Yang C, Sun R. Temporary Bonding and Debonding in Advanced Packaging: Recent Progress and Applications. Electronics. 2023; 12(7):1666. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics12071666

Chicago/Turabian Style

Mo, Zihao, Fangcheng Wang, Jinhui Li, Qiang Liu, Guoping Zhang, Weimin Li, Chunlei Yang, and Rong Sun. 2023. "Temporary Bonding and Debonding in Advanced Packaging: Recent Progress and Applications" Electronics 12, no. 7: 1666. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics12071666

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop