Next Article in Journal
Low-Cost Distributed Acoustic Sensor Network for Real-Time Urban Sound Monitoring
Next Article in Special Issue
Influence of Common Source and Word Line Electrodes on Program Operation in SuperFlash Memory
Previous Article in Journal
An Improved Weighted K-Nearest Neighbor Algorithm for Indoor Localization
Previous Article in Special Issue
Partial Isolation Type Buried Channel Array Transistor (Pi-BCAT) for a Sub-20 nm DRAM Cell Transistor
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Analysis of State-of-the-Art Spin-Transfer-Torque Nonvolatile Flip-Flops Considering Restore Yield in the Near/Sub-Threshold Voltage Region

Department of Electronics Engineering, Incheon National University, Incheon 22012, Korea
*
Author to whom correspondence should be addressed.
Submission received: 13 November 2020 / Revised: 5 December 2020 / Accepted: 9 December 2020 / Published: 11 December 2020

Abstract

:
Recently, the leakage power consumption of Internet of Things (IoT) devices has become a main issue to be tackled, due to the fact that the scaling of process technology increases the leakage current in the IoT devices having limited battery capacity, resulting in the reduction of battery lifetime. The most effective method to extend the battery lifetime is to shut-off the device during standby mode. For this reason, spin-transfer-torque magnetic-tunnel-junction (STT-MTJ) based nonvolatile flip-flop (NVFF) is being considered as a strong candidate to store the computing data. Since there is a risk that the MTJ resistance may change during the read operation (i.e., the read disturbance problem), NVFF should consider the read disturbance problem to satisfy reliable data restoration. To date, several NVFFs have been proposed. Even though they satisfy the target restore yield of 4σ, most of them do not take the read disturbance into account. Furthermore, several recently proposed NVFFs which focus on the offset-cancellation technique to improve the restore yield have obvious limitation with decreasing the supply voltage (VDD), because the offset-cancellation technique uses switch operation in the critical path that can exacerbate the restore yield in the near/sub-threshold region. In this regard, this paper analyzes state-of-the-art STT-MTJ based NVFFs with respect to the voltage region and provides insight that a simple circuit having no offset-cancellation technique could achieve a better restore yield in the near/sub-threshold voltage region. Monte–Carlo HSPICE simulation results, using industry-compatible 28 nm model parameters, show that in case of VDD of 0.6 V, complex NVFF circuits having offset tolerance characteristic have a better restore yield, whereas in case of VDD of 0.4 V with sizing up strategy, a simple NVFF circuit having no offset tolerance characteristic has a better restore yield.

1. Introduction

Recently, while technology node shrinks down, the leakage power consumption has been increased, as shown in Figure 1 [1]. The leakage power consumption of digital device such as Internet of Things (IoT) has become the main issue due to the limited battery lifetime [2]. In order to overcome this issue, the low leakage power design technique has been receiving a lot of attention. To improve the battery lifetime of IoT devices and minimize the leakage power consumption, various circuit techniques have been proposed such as power gating, stacked transistors, and the multiple threshold complementary metal oxide semiconductor (CMOS) techniques [3,4]. Among these techniques, the most straightforward method is to operate at low voltages (i.e., near/sub-threshold voltage region) during active mode and turn off the device during standby mode, since the IoT devices are usually operated in the standby mode. If supply voltage (VDD) is zero during standby mode, no current flows through the circuit, resulting in zero standby power consumption. However, all computing data for the operation will disappear due to the flip-flop’s volatile nature. To realize the zero VDD scheme during standby mode, the IoT devices must contain the nonvolatile flip-flop (NVFF) using the nonvolatile elements.
Among various nonvolatile elements, the spin-transfer-torque magnetic tunnel junction (STT-MTJ) is regarded as a strong candidate because of its various advantages, such as high endurance, scalability, and easy integration with CMOS technology [5,6]. The STT-MTJ can save the computing data by using the two different resistance values such as low resistance (RL) and high resistance (RH). To save the computing data into the STT-MTJ, the current flowing through the STT-MTJ should be larger than the critical switching current (IC) and longer than IC pulse width. Furthermore, when the saved data is sensed (restored), the current flowing through the STT-MTJ should be smaller than the IC and shorter than IC pulse width. If the sensing current is larger than the IC and/or longer than IC pulse width during sensing (restoring) operation, there is a risk that the MTJ resistance value may change, known as the read disturbance problem [7,8,9]. Thus, a proper sensing current strength and pulse width needs to be considered for the STT-MTJ-based NVFF designs.
Generally, NVFF has four operation modes, such as normal, standby, write, and sensing modes. In case of the normal mode, the NVFF behaves as a conventional volatile FF (e.g., transmission-gate-based master-slave FF). In case of the write mode, the computing data of the FF is saved into the MTJs prior to blocking the supply power. In case of the standby mode, supply power is fully turned off to actualize the zero standby leakage power, and the MTJ has the stored data of FF core. In case of the sensing mode, it is possible to restore the stored data of STT-MTJ into the FF core.
To date, several separated latch and sensing circuit (SLS) structure based NVFFs have been proposed [10,11,12,13] to independently optimize the circuit and flip-flop core. Even though they satisfy the target restore yield of 4σ (it was set to 4σ to guarantee a 96.88% (=Φ(4σ)1000) yield when 1000 NVFFs are assumed, where Φ() is the cumulative distribution function of the standard normal distribution [11,12,13]) in super-threshold voltage region, it is hard for them to satisfy target restore yield of 4σ in the near/sub-threshold voltage region no matter how much the size and time increase. Furthermore, although the NVFF operating in the near-threshold voltage region [11] was proposed, it is difficult to satisfy the target restore yield of 4σ if the target read disturbance margin of 6σ (it was set to guarantee 99% yield when 10,000 access per a single cell is assumed by considering the stochastic nature of MTJ [13]) is considered. Thus, most of the state-of-the-art STT-MTJ-based NVFFs cannot satisfy the target restore yield and target read disturbance margin simultaneously at all corners in the near/sub-threshold voltage region.
In this paper, among the various recently proposed state-of-the-art NVFFs employing different emerging nonvolatile elements, such as field-induced magnetization reversal MTJ [14,15], STT-MTJ [10,11,12,13,16,17,18,19,20,21,22,23], complementary polarizer MTJ [24], spin-orbital-torque MTJ [25,26], memristor [27,28,29,30], ferroelectric capacitor (FeCAP) [31,32,33,34], and ferroelectric field-effect transistor (FeFET) [35,36], four state-of-the-art STT-MTJ-based NVFFs with the SLS structure were simulated and described why the NVFFs do not satisfy the target restore yield according to VDD. The analysis of the silicon-proven NVFFs with the merged latch and sensing circuit (MLS) structure can be found in the reference texts (see [13]). The rest of this paper is as follows. Section 2 describes the operation and analysis of the four state-of-the-art STT-MTJ-based NVFFs. Section 3 describes simulation results and comparison. Section 4 presents the conclusions.

2. State-of-the-Art STT-MTJ-Based NVFFs

In this section, the operation of four state-of-the-art STT-MTJ-based NVFFs [10,11,12,13] is described. Figure 2 shows the sensing circuit diagrams of the state-of-the-art NVFFs proposed by Na et al. [10], Song et al. [11], Na et al. [12], and Choi et al. [13].
In the sensing mode of Na’s NVFF [10] (Figure 2a), SE signal becomes 1. Then, PW1 and NW2 are turned on and the sensing inverter (PSEN2, PSEN1 and NSEN) starts to operate. Current flows from PW1 to NW2. When the resistance of MTJA (RMTJA) is low resistance (RL) and the resistance of MTJB (RMTJB) is high resistance (RH), the X node voltage (VX) becomes high voltage (VH = VDD × RH/(RL + RH)). In the similar manner, when RMTJA is RH and RMTJB is RL, VX becomes low voltage (VL = VDD × RL/(RL + RH)). If trip voltage of the sensing inverter is ideal (VTRIP = VDD/2 = (VL + VH)/2), the output voltage (VY) of the sensing inverter is amplified to VDD or GND by the inverter gain (AINV) (VY = VX × AINV). Then, the VY is delivered to FF core. Thus, the stored data in MTJ is restored.
In the sensing mode of Song’s NVFF [11] (Figure 2b), the SE (SE = P1 + P2 + P3 + P4, meaning logical ORing of P1, P2, P3, and P4) signal becomes 1. The threshold voltage (VTH) of NL and NR is stored in capacitors CSA_L and CSA_R, respectively. Then, the output node voltages (VOUT and VOUTB) are precharged to GND. If RMTJA is RL and RMTJB is RH, the VOUTB becomes higher voltage and VOUT becomes lower voltage because the current flowing through MTJA is higher than the current flowing through MTJB. Since the gate voltage of NR is higher than NL, the NR quickly discharges VOUT because of the cross-coupled NMOS structure. As a result, VOUTB becomes VDD and VOUT becomes almost GND, respectively. Then, the VOUT is delivered to FF core. Thus, the stored data in MTJ is restored.
The sensing mode operation of Na’s NVFF [12] (Figure 2c) is the same as the operation of Song’s NVFF except for the inclusion of NL2 and NR2 and reverse-connected MTJ structure. The purpose of the NL2 and NR2 is to isolate between the VOUT and VOUTB during the offset-cancelling phase, leading to the improvement in the offset tolerance characteristic. The reverse-connected MTJ structure improves the read disturbance margin by reducing the read current (Iread) causing the read disturbance.
The sensing mode operation of Choi’s NVFF [13] (Figure 2d) is similar to the operation of Na’s NVFF [10] but auto-zeroing and dynamic reference voltage (DRV) techniques are added to improve the read yield. The auto-zeroing technique cancels the offset voltage (VTRIP variation) caused by the process variation in the sensing inverter. The DRV technique improves the restore yield further by doubling the X node voltage difference from min(VTRIP − VL, VH − VTRIP) to VH − VL.

3. Simulation Results and Comparison

3.1. Simulation Conditions

In this paper, only the SLS structure based NVFFs [10,11,12,13] are simulated and compared for the purpose of optimizing the sensing circuit (restore yield, read disturbance margin) and flip-flop core (clock to Q delay) independently. The simulation and comparison of the silicon-proven merged latch and sensing circuit (MLS) structure based NVFFs can be found in the reference texts (see [13]).
To fairly compare the four state-of-the-art NVFFs [10,11,12,13], similar or identical transistor sizes are used to equalize the effect of process variation of devices that affect the sensing operation of stored data. All transistors use the minimum length of 30 nm. All capacitor values are 4 fF. For the write driver, PMOS (PW1 and PW2) width is 3 μm and NMOS (NW1 and NW2) width is 1 μm. For the transmission gate access transistors which act as switches, NMOS and PMOS width is 0.5 μm. In case of Na’s NVFF [10] and Choi’s NVFF [13], PMOS (PSEN2, PSEN1) width is 1 μm and NMOS (NSEN1) width is 0.5 μm for the sensing inverter. In case of Song’s NVFF [11] and Na’s NVFF [12], PMOS header width is 2 μm and NMOS footer width is 1 μm. Width of NL, NL2, NR, and NR2 is 0.5 μm. RL of 3 kΩ and RH of 6 kΩ are selected by a tunnel magnetoresistance (TMR) ratio of 100% [37], where the TMR is defined as (RH − RL)/RL × 100. To consider the MTJ resistance (RL and RH) variation, a standard deviation of 4% is assumed for MTJ [38]. The IC of the MTJ relies on the QCT benchmark 20 nm perpendicular MTJ model [10,39], as shown in Figure 3.
The target restore yield is set to 4σ, which satisfies the yield of 96.88% (=Φ(4σ)1000) assuming 1000 FFs. The target read disturbance margin is set to 6σ, which satisfies the yield of 99% assuming 1000 access per a single cell when considering the stochastic nature of MTJ. For satisfying more than 6σ read disturbance margin, the sensing current and its pulse width flowing through MTJ must be below the solid line of μ(IC) − 6σ(IC) in Figure 3. The sensing time is optimized according to the read disturbance margin of state-of-the-art NVFFs. The NVFF restore yield is obtained by performing HSPICE Monte Carlo simulations using industry-compatible 28 nm model parameters. The VDD of 0.6 V and 0.4 V are used for super-threshold and near/sub-threshold voltage operations, respectively. The transistor type is low VTH type for better performance at near/sub-threshold voltage region. The target restore yield of 4σ is estimated at all corners of the NMOS and PMOS.

3.2. Simulation Results and Comparison

Figure 4 shows the restore yield of three state-of-the-arts NVFFs [11,12,13] having the offset-tolerant characteristic relative to the capacitance (CSA or CEQ) value at SS corner (NMOS = Slow, PMOS = Slow), which is the worst corner in the NVFF design. The capacitance value is important to capture and hold the VTH of NL, NR [11,12] and VTRIP [13] for offset cancellation. Furthermore, the larger capacitance value can achieve a higher restore yield since it is possible for capacitor to transfer the more voltage variation values effectively known as capacitive coupling. Thus, the sufficient capacitance value is selected to achieve the target restore yield of 4σ. Even though Song’s NVFF [11] accepts the offset tolerant scheme, Song’s NVFF [11] shows lower restore yield than other offset tolerant NVFFs. The reason is that Song’s NVFF shows low offset cancellation effectiveness because the two output nodes Y and YB are connected through MTJA and MTJB during the offset cancellation phase. It makes Song’s NVFF achieve low restore yield.
Figure 5 shows the restore yield of four state-of-the-art NVFFs [10,11,12,13] relative to the MTJ variation at SS corner. The restore yield of state-of-the-art NVFFs reduces according to the increase in the MTJ variation because it is possible to reduce the resistance difference between MTJA and MTJB. In other words, if the sufficient resistance difference margin is not satisfied, the sensing will be failed since the sensing margin decreases. This figure also clearly shows that if the MTJ variation is more than 8%, all NVFFs cannot satisfy the target restore yield of 4σ, meaning that the MTJ variation is a critical factor degrading the restore yield.
Figure 6 shows the restore yield of state-of-the-art NVFFs relative to the MTJ stress time during the sensing mode at SS corner, where the MTJ stress time is the current pulse width flowing through the MTJ during the sensing (restore) mode. It is worth noting here that not only reducing Iread but also reducing the MTJ stress time is important to prevent the read disturbance. Generally, the restore yield of the state-of-the-art NVFFs increase when MTJ stress time increases. The NVFFs with effective offset cancellation techniques [12,13] show much higher restore yield than the NVFFs without offset cancellation [10] and with ineffective offset cancellation [11].
Figure 7 shows the restore yield relative to VDD during the sensing mode at SS corner. Generally, the restore yield reduces with decreasing VDD as expected. Note that at VDD = 0.5 V, Na’s NVFF without offset cancellation technique [10] achieves the highest restore yield than other three NVFFs having the offset cancellation characteristic. Because the offset-cancellation technique uses switch operation in the critical path, the increase in the variation of the transmission gates with decreasing VDD increases the offset voltage of the three NVFFs [11,12,13], resulting in the restore yield degradation in the near/sub-threshold voltage region further compared to the non-offset tolerant NVFF [10].
Figure 8 shows the restore yield of state-of-the-art NVFFs relative to the VDD when the MTJ stress time is increased from 0.9 ns (Figure 7) to 1.5 ns at SS corner. To prevent the restore yield degradation caused by the lack of the sensing time as VDD decreases, a sufficient MTJ stress time of 1.5 ns is applied. Generally, the restore yield of all state-of-the-art NVFFs decreases as VDD decreases. Like the result of Figure 7, Na’s NVFF [10] shows the highest restore yield than other offset tolerant NVFFs [11,12,13] when VDD is equal to 0.5 V.
Figure 9 shows the restore yield of state-of-the-art NVFFs relative to the width size of all devices when MTJ stress time = 1.5 ns, VDD = 0.5 V, and SS corner. The default size (×1) for all devices can be found in Section 3.1. Generally, the restore yield increases as the size increases because of the decrease in the process variation. Interestingly, from ×1 to ×4 width sizes, Na’s NVFF [10] has the highest restore yield. Unlike the three NVFFs [11,12,13] having the offset-tolerant characteristic, Na’s NVFF [10] does not have any complex circuit operation. Similar to the notion that the simplest is the best, these simulation results provide insight that a simple circuit can provide the best performance in the near/sub-threshold voltage region.
Figure 10 shows the restore yield of state-of-the-art NVFFs relative to the VDD when MTJ stress time is 1.5 ns and width size of all devices is equal to the default size times 4 (×4) during the sensing mode at SS corner. It clearly shows that the simple circuit (NVFF [10]) provides a much better restore yield compared to the complex circuits (other NVFFs [11,12,13]) in the near/sub-threshold voltage region.
Table 1 and Table 2 summarize the comparison between the four state-of-the-art NVFFs [10,11,12,13] in cases of VDD of 0.6 V and 0.4 V, respectively. In case of Table 1, because VDD is relatively high (slightly higher than near-threshold voltage region), the NVFFs [12,13] having the offset tolerance characteristic show better restore yield achieving the target restore yield of 4σ under the design and reliability constraint, especially the read disturbance margin of 6σ. In case of Table 2, on the other hand, because VDD is in the near/sub-threshold region, the offset tolerant NVFFs [12,13] do not provide better restore yield, while the simplest NVFF having no offset tolerance characteristic shows the highest restore yield of 3.5σ. These simulation results provide insight that a simple circuit having no additional offsets which come from switch operations can provide a better restore yield in the near/sub-threshold voltage region.

4. Conclusions

While the technology node scales down, the battery lifetime of the IoT device is suffering from leakage current problems. To solve this problem, the STT-MTJ based NVFF to store data and turn off supply voltage is important when the IoT device is in the standby mode. In this paper, the four state-of-the-art STT-MTJ-based NVFFs with the SLS structure for the purpose of optimizing the sensing circuit without the degradation of the slave latch operation were selected and simulated with considering the restore yield, and observed that (1) in the above-threshold voltage region (e.g., 0.6 V) under design and reliability constraint, it cannot guarantee reliable restore yield if offset tolerant scheme is not applied or its effectiveness is too low, and (2) in the near/sub-threshold voltage region (e.g., 0.4 V) with sizing up strategy, a simple circuit having no offset tolerance characteristic provides a better restore yield. In other words, to achieve the ultralow power operation by using the near/sub-threshold voltage region, a simple circuit can be much better, similar to the notion that the simplest is the best. This analysis is expected to help to improve the circuit design methods of NVFF sensing further for ultralow power IoT applications.

Author Contributions

Conceptualization, T.N.; methodology, T.N.; software, G.H.C.; validation, G.H.C. and T.N.; formal analysis, T.N.; investigation, G.H.C.; resources, G.H.C.; data curation, G.H.C.; writing—original draft preparation, G.H.C.; writing—review and editing, T.N.; visualization, G.H.C.; supervision, T.N.; project administration, T.N.; funding acquisition, T.N. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (No. 2020R1F1A1060395).

Conflicts of Interest

The author declares no conflict of interest.

References

  1. Chakravarthi, V.S.; Ghosh, S. Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies. In Proceedings of the International Conference on VLSI, Communication, Advanced Devices, Signals & Systems and Networking (VCASAN-2013); Lecture Notes in Electrical Engineering; Springer: New Delhi, India, 2013; Volume 258, pp. 139–149. [Google Scholar]
  2. Boeck, J.D. IoT: The Impact of Things. In Proceedings of the Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 16–18 June 2015; pp. T82–T83. [Google Scholar]
  3. Shigematsu, S.; Mutoh, S.; Matsuya, Y.; Tanabe, Y.; Yamada, J. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits. IEEE J. Solid-State Circuits 1997, 32, 861–869. [Google Scholar] [CrossRef]
  4. Calhoun, B.; Honore, F.; Chandrakasan, A. A leakage reduction methodology for distributed MTCMOS. IEEE J. Solid-State Circuits 2004, 39, 818–826. [Google Scholar] [CrossRef]
  5. Kawahara, T.; Takemura, R.; Miura, K.; Hayakawa, J.; Ikeda, S.; Lee, Y.M.; Sasaki, R.; Goto, Y.; Ito, K.; Meguro, T.; et al. 2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read. IEEE J. Solid-State Circuits 2008, 43, 109–120. [Google Scholar] [CrossRef]
  6. Bishnoi, R.; Ebrahimi, M.; Oboril, F.; Tahoori, M.B. Improving Write Performance for STT-MRAM. IEEE Trans. Magn. 2016, 52, 1–11. [Google Scholar] [CrossRef]
  7. Ono, K.; Kawahara, T.; Takemura, R.; Miura, K.; Yamamoto, H.; Yamanouchi, M.; Hayakawa, J.; Ito, K.; Takahashi, H.; Ikeda, S.; et al. A disturbance-free read scheme and a compact stochastic-spin-dynamics-based MTJ circuit model for Gb-scale SPRAM. In Proceedings of the 2009 IEEE International Electron Devices Meeting, Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar]
  8. Na, T.; Kim, J.P.; Kang, S.H.; Jung, S.-O. Read Disturbance Reduction Technique for Offset-Canceling Dual-Stage Sensing Circuits in Deep Submicrometer STT-RAM. IEEE Trans. Circuits Syst. II Express Briefs 2016, 63, 578–582. [Google Scholar] [CrossRef]
  9. Na, T.; Kim, J.; Kim, J.P.; Kang, S.H.; Jung, S.-O. Reference-Scheme Study and Novel Reference Scheme for Deep Submicrometer STT-RAM. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 3376–3385. [Google Scholar] [CrossRef]
  10. Na, T.; Ryu, K.; Kim, J.; Jung, S.-O.; Kim, J.P.; Kang, S.H. High-performance low-power magnetic tunnel junction based non-volatile flip-flop. In Proceedings of the 2014 IEEE International Symposium on Circuits and Systems (ISCAS), Melbourne, Australia, 1–5 June 2014; pp. 1953–1956. [Google Scholar]
  11. Song, B.; Choi, S.; Kang, S.H.; Jung, S.-O. Offset-Cancellation Sensing-Circuit-Based Nonvolatile Flip-Flop Operating in Near-Threshold Voltage Region. IEEE Trans. Circuits Syst. I Regul. Pap. 2019, 66, 2963–2972. [Google Scholar] [CrossRef]
  12. Na, T. Robust Offset-Cancellation Sensing-Circuit-Based Spin-Transfer-Torque Nonvolatile Flip-Flop. IEEE Access 2020, 8, 159806–159815. [Google Scholar] [CrossRef]
  13. Choi, G.H.; Na, T. Novel MTJ-Based Sensing Inverter Variation Tolerant Nonvolatile Flip-Flop in the Near-Threshold Voltage Region. IEEE Access 2020, 8, 191057–191066. [Google Scholar] [CrossRef]
  14. Sakimura, N.; Sugibayashi, T.; Nebashi, R.; Kasai, N. Nonvolatile Magnetic Flip-Flop for Standby-Power-Free SoCs. IEEE J. Solid-State Circuits 2009, 44, 2244–2250. [Google Scholar] [CrossRef]
  15. Sakimura, N.; Tsuji, Y.; Nebashi, R.; Honjo, H.; Morioka, A.; Ishihara, K.; Kinoshita, K.; Fukami, S.; Miura, S.; Kasai, N.; et al. A 90 nm 20 MHz Fully Nonvolatile Microcontroller for Standby-Power-Critical Applications. Int. Solid-State Circuits Conf. 2014, 184–185. [Google Scholar] [CrossRef]
  16. Zhao, W.; Chappert, C.; Javerliac, V.; Noziere, J.-P. High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits. IEEE Trans. Magn. 2009, 45, 3784–3787. [Google Scholar] [CrossRef]
  17. Ryu, K.; Kim, J.; Jung, J.; Kim, J.P.; Kang, S.H.; Jung, S.-O. A Magnetic Tunnel Junction Based Zero Standby Leakage Current Retention Flip-Flop. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2011, 20, 2044–2053. [Google Scholar] [CrossRef]
  18. Na, T.; Ryu, K.; Kim, J.; Kang, S.H.; Jung, S.-O. A Comparative Study of STT-MTJ based Non-Volatile Flip-Flops. In Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS), Beijing, China, 19–23 May 2013; pp. 109–112. [Google Scholar]
  19. Jung, Y.; Kim, J.; Ryu, K.; Kim, J.P.; Kang, S.H.; Jung, S.-O. An MTJ-based non-volatile flip-flop for high-performance SoC. Int. J. Circuit Theory Appl. 2012, 42, 394–406. [Google Scholar] [CrossRef]
  20. Chabi, D.; Zhao, W.; Deng, E.; Zhang, Y.; Ben Romdhane, N.; Klein, J.-O.; Chappert, C. Ultra Low Power Magnetic Flip-Flop Based on Checkpointing/Power Gating and Self-Enable Mechanisms. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 1755–1765. [Google Scholar] [CrossRef]
  21. Kazemi, M.; Ipek, E.; Friedman, E.G. Energy-Efficient Nonvolatile Flip-Flop with Subnanosecond Data Backup Time for Fine-Grain Power Gating. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 1154–1158. [Google Scholar] [CrossRef]
  22. Iyengar, A.S.; Ghosh, S.; Jang, J.-W. MTJ-Based State Retentive Flip-Flop With Enhanced-Scan Capability to Sustain Sudden Power Failure. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 2062–2068. [Google Scholar] [CrossRef]
  23. Bishnoi, R.; Oboril, F.; Tahoori, M.B. Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 1421–1432. [Google Scholar] [CrossRef]
  24. Seo, Y.; Fong, X.; Roy, K. Fast and Disturb-Free Nonvolatile Flip-Flop using Complementary Polarizer MTJ. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 1573–1577. [Google Scholar] [CrossRef]
  25. Kwon, K.-W.; Choday, S.H.; Kim, Y.; Fong, X.; Park, S.P.; Roy, K. SHE-NVFF: Spin Hall Effect-based Nonvolatile Flip-Flop for Power Gating Architecture. IEEE Electron Device Lett. 2014, 35, 488–490. [Google Scholar] [CrossRef]
  26. Ali, K.; Li, F.; Lua, S.Y.H.; Heng, C.-H. Energy- and Area-Efficient Spin–Orbit Torque Nonvolatile Flip-Flop for Power Gating Architecture. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 630–638. [Google Scholar] [CrossRef]
  27. Kazi, I.; Meinerzhagen, P.; Gaillardon, P.-E.; Sacchetto, D.; Leblebici, Y.; Burg, A.; Micheli, G.D. Energy/Reliability Trade-Offs in Low-Voltage ReRAM-based Non-Volatile Flip-Flop Design. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 3155–3164. [Google Scholar] [CrossRef] [Green Version]
  28. Liu, Y.; Wang, Z.; Lee, A.; Su, F.; Lo, C.; Yuan, Z.; Lin, C.; Wei, Q.; Wang, Y.; King, Y.; et al. A 65 nm ReRAM-enabled Nonvolatile Processor with 6× Reduction in Restore Time and 4× Higher Clock Frequency using Adaptive Data Retention and Self-Write-Termination Nonvolatile Logic. In Proceedings of the 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 84–86. [Google Scholar]
  29. Lo, C.-P.; Chen, W.; Wang, Z.; Lee, A.; Hsu, K.; Su, F.; King, Y.; Lin, C.J.; Liu, Y.; Yang, H.; et al. A ReRAM-based Single-NVM Nonvolatile Flip-Flop with Reduced Stress-Time and Write-Power Against Wide Distribution in Write-time by Using Self-Write-Termination Scheme for Nonvolatile Processors in IoT Era. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 1–4. [Google Scholar]
  30. Lee, A.; Lo, C.P.; Lin, C.C.; Chen, W.H.; Hsu, K.H.; Wang, Z.; Su, F.; Yuan, Z.; Wei, Q.; King, Y.C.; et al. A ReRAM-based Nonvolatile Flip-Flop with Self-Write Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors. IEEE J. Solid-State Circuits 2017, 52, 2194–2207. [Google Scholar] [CrossRef]
  31. Bartling, S.C.; Khanna, S.; Clinton, M.; Summerfelt, S.; Rodriguez, J.; McAdams, H. An 8 MHz 75 μA/MHz Zero-Leakage Non-Volatile Logic-Based Cortex-M0 MCU SoC Exhibiting 100% Digital State Retention at VDD = 0 V with <400 ns Wakeup and Sleep Transitions. In Proceedings of the 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17–21 February 2013; pp. 432–433. [Google Scholar]
  32. Qazi, M.; Qazi, M.; Amerasekera, A.; Chandrakasan, A. A 3.4-pJ FeRAM-enabled D Flip-Flop in 0.13-μm CMOS for Nonvolatile Processing in Digital Systems. IEEE J. Solid-State Circuits 2014, 49, 202–211. [Google Scholar] [CrossRef] [Green Version]
  33. Khanna, S.; Bartling, S.; Clinton, M.; Summerfelt, S.; Rodriguez, J.; McAdams, H. An FRAM-based Nonvolatile Logic MCU SoC Exhibiting 100% Digital State Retention at VDD = 0 V Achieving Zero Leakage with <400-ns Wakeup Time for ULP Applications. IEEE J. Solid-State Circuits 2014, 49, 95–106. [Google Scholar] [CrossRef]
  34. Su, F.; Liu, Y.; Wang, Y.; Yang, H. A Ferroelectric Nonvolatile Processor with 46 µs System-Level Wake-Up Time and 14 µs Sleep Time for Energy Harvesting Applications for Energy Harvesting Applications. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 596–607. [Google Scholar] [CrossRef]
  35. Li, X.; Ma, K.; George, S.; Khwa, W.-S.; Sampson, J.; Gupta, S.; Liu, Y.; Chang, M.-F.; Datta, S.; Narayanan, V. Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore. IEEE Trans. Electron Devices 2017, 64, 3037–3040. [Google Scholar] [CrossRef]
  36. Saki, A.A.; Lin, S.H.; Alam, M.; Thirumala, S.K.; Gupta, S.K.; Ghosh, S. A Family of Compact Non-Volatile Flip-Flops with Ferroelectric FET. IEEE Trans. Circuits Syst. I Regul. Pap. 2019, 66, 4219–4229. [Google Scholar] [CrossRef]
  37. Lee, K.; Kang, S.H. Development of Embedded STT-MRAM for Mobile System-On-Chips. IEEE Trans. Magn. 2011, 47, 131–136. [Google Scholar] [CrossRef]
  38. Rizzo, N. Toggle and Spin Torque: MRAM at Everspin Technologies. In Proceedings of the Non-Volatile Memories Workshop, University of California, San Diego, CA, USA, 11–13 April 2010. [Google Scholar]
  39. Kang, S.H. Embedded STT-MRAM for Advanced Mobile System-on-Chips. In Proceedings of the 2nd CSIS International Symposium on Spintronics-Based VLSIs, Cambridge, UK, 19–25 September 2012; pp. 1–23. [Google Scholar]
Figure 1. Leakage power vs. dynamic power [1].
Figure 1. Leakage power vs. dynamic power [1].
Electronics 09 02118 g001
Figure 2. Sensing circuit diagrams of state-of-the-art NVFFs. (a) Na et al. [10]. (b) Song et al. [11]. (c) Na et al. [12]. (d) Choi et al. [13].
Figure 2. Sensing circuit diagrams of state-of-the-art NVFFs. (a) Na et al. [10]. (b) Song et al. [11]. (c) Na et al. [12]. (d) Choi et al. [13].
Electronics 09 02118 g002
Figure 3. Critical switching current (IC) of MTJ according to pulse width [10].
Figure 3. Critical switching current (IC) of MTJ according to pulse width [10].
Electronics 09 02118 g003
Figure 4. Restore yield of three state-of-the-arts NVFFs [11,12,13] having the offset-tolerant characteristic relative to the capacitance (CSA or CEQ) value at SS corner (NMOS = Slow, PMOS = Slow). For this simulation, VDD of 0.6 V is used.
Figure 4. Restore yield of three state-of-the-arts NVFFs [11,12,13] having the offset-tolerant characteristic relative to the capacitance (CSA or CEQ) value at SS corner (NMOS = Slow, PMOS = Slow). For this simulation, VDD of 0.6 V is used.
Electronics 09 02118 g004
Figure 5. Restore yield of four state-of-the-art NVFFs [10,11,12,13] relative to the MTJ variation at SS corner. For this simulation, VDD of 0.6 V is used.
Figure 5. Restore yield of four state-of-the-art NVFFs [10,11,12,13] relative to the MTJ variation at SS corner. For this simulation, VDD of 0.6 V is used.
Electronics 09 02118 g005
Figure 6. Restore yield of state-of-the-art NVFFs relative to the MTJ stress time during the sensing mode at SS corner. For this simulation, VDD of 0.6 V is used.
Figure 6. Restore yield of state-of-the-art NVFFs relative to the MTJ stress time during the sensing mode at SS corner. For this simulation, VDD of 0.6 V is used.
Electronics 09 02118 g006
Figure 7. Restore yield of state-of-the-art NVFFs relative to VDD during the sensing mode at SS corner. For this simulation, the MTJ stress time was fixed to 0.9 ns.
Figure 7. Restore yield of state-of-the-art NVFFs relative to VDD during the sensing mode at SS corner. For this simulation, the MTJ stress time was fixed to 0.9 ns.
Electronics 09 02118 g007
Figure 8. Restore yield of state-of-the-art NVFFs relative to the VDD when the MTJ stress time is increased from 0.9 ns (Figure 7) to 1.5 ns.
Figure 8. Restore yield of state-of-the-art NVFFs relative to the VDD when the MTJ stress time is increased from 0.9 ns (Figure 7) to 1.5 ns.
Electronics 09 02118 g008
Figure 9. Restore yield of state-of-the-art NVFFs relative to the width size of all devices when MTJ stress time = 1.5 ns, VDD = 0.5 V, and SS corner.
Figure 9. Restore yield of state-of-the-art NVFFs relative to the width size of all devices when MTJ stress time = 1.5 ns, VDD = 0.5 V, and SS corner.
Electronics 09 02118 g009
Figure 10. Restore yield of state-of-the-art NVFFs relative to the VDD when MTJ stress time is 1.5 ns and width size of all devices is equal to the default size times 4 (×4) during the sensing mode at SS corner.
Figure 10. Restore yield of state-of-the-art NVFFs relative to the VDD when MTJ stress time is 1.5 ns and width size of all devices is equal to the default size times 4 (×4) during the sensing mode at SS corner.
Electronics 09 02118 g010
Table 1. Performance summary and comparison between the four state-of-the-art NVFFs [10,11,12,13] in case of VDD of 0.6 V.
Table 1. Performance summary and comparison between the four state-of-the-art NVFFs [10,11,12,13] in case of VDD of 0.6 V.
T. Na,
ISCAS 14′
[10]
B. Song,
TCAS-1 19′
[11]
T. Na,
IEEE ACCESS 20′ [12]
G. H. Choi,
IEEE ACCESS 20′ [13]
VDD [V]0.60.60.60.6
Default size×1×1×1×1
MTJ stress time [ns]0.90.90.90.9
Restore yield [σ]2.02.14.44.2
Circuit ComplexitySimple
(no offset tolerance)
Complex
(less offset tolerance)
Complex
(offset tolerance)
Complex
(offset tolerance)
Table 2. Performance summary and comparison between the four state-of-the-art NVFFs [10,11,12,13] in case of VDD of 0.4 V.
Table 2. Performance summary and comparison between the four state-of-the-art NVFFs [10,11,12,13] in case of VDD of 0.4 V.
T. Na,
ISCAS 14′
[10]
B. Song,
TCAS-1 19′
[11]
T. Na,
IEEE ACCESS 20′ [12]
G. H. Choi,
IEEE ACCESS 20′ [13]
VDD [V]0.40.40.40.4
Default size×4×4×4×4
MTJ stress time [ns]1.51.51.51.5
Restore yield [σ]3.5000
Circuit ComplexitySimple
(no offset tolerance)
Complex
(less offset tolerance)
Complex
(offset tolerance)
Complex
(offset tolerance)
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Choi, G.H.; Na, T. Analysis of State-of-the-Art Spin-Transfer-Torque Nonvolatile Flip-Flops Considering Restore Yield in the Near/Sub-Threshold Voltage Region. Electronics 2020, 9, 2118. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics9122118

AMA Style

Choi GH, Na T. Analysis of State-of-the-Art Spin-Transfer-Torque Nonvolatile Flip-Flops Considering Restore Yield in the Near/Sub-Threshold Voltage Region. Electronics. 2020; 9(12):2118. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics9122118

Chicago/Turabian Style

Choi, Gwang Hui, and Taehui Na. 2020. "Analysis of State-of-the-Art Spin-Transfer-Torque Nonvolatile Flip-Flops Considering Restore Yield in the Near/Sub-Threshold Voltage Region" Electronics 9, no. 12: 2118. https://0-doi-org.brum.beds.ac.uk/10.3390/electronics9122118

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop